Part Number Hot Search : 
CS830 C04IE170 HCT14 M28W431 P5CX73 0512E FRX130D1 HN28F101
Product Description
Full Text Search
 

To Download T89C51RB2-3CSCM Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  rev. 4105d?8051?10/06 1 features ? 80c52 compatible ? 8051 pin and instruction compatible ? four 8-bit i/o ports ? three 16-bit timer/counters ? 256 bytes scratch pad ram ? 10 interrupt sources with 4 priority levels ? dual data pointer ? variable length movx for slow ram/peripherals ? isp (in-system programming) using standard v cc power supply ? boot rom contains low level flash programming routines and a default serial loader ? high-speed architecture ? 40 mhz in standard mode ? 20 mhz in x2 mode (6 clocks/machine cycle) ? 16k/32k bytes on-chip flash program/data memory ? byte and page (128 bytes) erase and write ? 10k write cycles ? on-chip 1024 bytes expanded ram (xram) ? software selectable size (0, 256, 512, 768, 1024 bytes) ? 256 bytes selected at reset for ts87c51rb2/rc2 compatibility ? keyboard interrupt interface on port p1 ? spi interface (master / slave mode) ? 8-bit clock prescaler ? improved x2 mode with independent selection for cpu and each peripheral ? programmable counter array 5 channels with: ? high speed output ? compare / capture ? pulse width modulator ? watchdog timer capabilities ? asynchronous port reset ? full duplex enhanced uart ? dedicated baud rate generator for uart ? low emi (inhibit ale) ? hardware watchdog timer (one-time enabled with reset-out) ? power control modes: ? idle mode ? power-down mode ? power-off flag ? power supply: 4.5 to 5.5v or 2.7 to 3.6v ? temperature ranges: commercial (0 to +70 c) and industrial (-40 c to +85 c) ? packages: pdil40, plcc44, vqfp44 description t89c51rb2/rc2 is a high-performance flash version of the 80c51 8-bit microcon- trollers. it contains a 16k or 32k byte flash memory block for program and data. the flash memory can be programmed either in parallel mode or in serial mode with the isp capability or with software. the programming voltage is internally generated from the standard v cc pin. the t89c51rb2/rc2 retains all features of the 80c52 with 256 bytes of internal ram, a 7-source 4-level interrupt controller and three timer/counters. in addition, the t89c51rb2/rc2 has a programmable counter array, an xram of 1024 bytes, a hardware watchdog timer, a keyboard interface, an spi interface, 8-bit microcontroller with 16k/ 32k byte flash t89c51rb2 t89c51rc2 preliminary
2 t89c51rb2/rc2 4105d?8051?10/06 a more versatile serial channel that facilitates multiprocessor communication (euart) and a speed improvement mechanism (x2 mode). pinout is the standard 40/44 pins of the c52. the fully static design reduces system power consumption of the t89c51rb2/rc2 by allowing it to bring the clock frequency down to any value, even dc, without loss of data. the t89c51rb2/rc2 has 2 software-selectable modes of reduced activity and 8-bit clock prescaler for further reduction in power consumption. in idle mode, the cpu is fro- zen while the peripherals and the interrupt system are still operating. in power-down mode, the ram is saved and all other functions are inoperative. the added features of the t89c51rb2/rc2 make it more powerful for applications that need pulse width modulation, high speed i/o and counting capabilities such as alarms, motor control, corded phones, and smart card readers. block diagram figure 1. block diagram note: 1. alternate function of port 1 2. alternate function of port 3 table 1. memory size part number flash (bytes) xram (bytes) total ram (bytes) i/o t89c51rb2 16k 1024 1280 32 t89c51rc2 32k 1024 1280 32 timer 0 int ram 256x8 t0 t1 rxd txd wr rd ea psen ale/ xtal2 xtal1 euart cpu timer 1 int1 ctrl int0 (2) (2) c51 core (2) (2) (2) (2) port 0 p0 port 1 port 2 port 3 parallel i/o ports & ext. bus p1 p2 p3 xram 1kx8 ib-bus pca reset prog watch dog pca eci vss v cc (2) (2) (1) (1) timer2 t2ex t2 (1) (1) flash 32kx8 or 16kx8 key board rom 2kx8 boot + brg spi miso mosi sck (1) (1) (1) ss (1)
3 t89c51rb2/rc2 4105d?8051?10/06 sfr mapping the special function registers (sfrs) of the t89c51rb2/rc2 fall into the following categories: ? c51 core registers: acc, b, dph, dpl, psw, sp ? i/o port registers: p0, p1, p2, p3 ? timer registers: t2con, t2mod, tcon, th0, th1, th2, tmod, tl0, tl1, tl2, rcap2l, rcap2h ? serial i/o port registers: saddr, saden, sbuf, scon ? pca (programmable counter array) registers: ccon, ccapmx, cl, ch, ccapxh, ccapxl (x: 0 to 4) ? power and clock control registers: pcon ? hardware watchdog timer registers: wdtrst, wdtprg ? interrupt system registers: ie0, ipl0, iph0, ie1, ipl1, iph1 ? keyboard interface registers: kbe, kbf, kbls ? spi registers: spcon, spstr, spdat ? brg (baud rate generator) registers: brl, bdrcon ? flash register: fcon ? clock prescaler register: ckrl ? others: auxr, auxr1, ckcon0, ckcon1
4 t89c51rb2/rc2 4105d?8051?10/06 the table below shows all sfrs with their address and their reset value. table 2. sfr mapping bit addressable non bit addressable 0/8 1/9 2/a 3/b 4/c 5/d 6/e 7/f f8h ch 0000 0000 ccap0h xxxx ccap1h xxxx ccapl2h xxxx ccapl3h xxxx ccapl4h xxxx ffh f0h b 0000 0000 f7h e8h cl 0000 0000 ccap0l xxxx xxxx ccap1l xxxx xxxx ccapl2l xxxx xxxx ccapl3l xxxx xxxx ccapl4l xxxx xxxx efh e0h acc 0000 0000 e7h d8h ccon 00x0 0000 cmod 00xx x000 ccapm0 x000 0000 ccapm1 x000 0000 ccapm2 x000 0000 ccapm3 x000 0000 ccapm4 x000 0000 dfh d0h psw 0000 0000 fcon (a) xxxx 0000 a. fcon access is reserved for the flash api and isp software note: reserved d7h c8h t2con 0000 0000 t2mod xxxx xx00 rcap2l 0000 0000 rcap2h 0000 0000 tl2 0000 0000 th2 0000 0000 cfh c0h spcon 0001 0100 spsta 0000 0000 spdat xxxx xxxx c7h b8h ipl0 x000 000 saden 0000 0000 bfh b0h p3 1111 1111 ie1 xxxxx 000 ipl1 xxxxx000 iph1 xxxx x111 iph0 x000 0000 b7h a8h ie0 0000 0000 saddr 0000 0000 ckcon1 xxxx xxx0 afh a0h p2 1111 1111 auxr1 xxxxx0x0 wdtrst xxxx xxxx wdtprg xxxx x000 a7h 98h scon 0000 0000 sbuf xxxx xxxx brl 0000 0000 bdrcon xxx0 0000 kbls 0000 0000 kbe 0000 0000 kbf 0000 0000 9fh 90h p1 1111 1111 ckrl 1111 1111 97h 88h tcon 0000 0000 tmod 0000 0000 tl0 0000 0000 tl1 0000 0000 th0 0000 0000 th1 0000 0000 auxr xx0x 0000 ckcon0 0000 0000 8fh 80h p0 1111 1111 sp 0000 0111 dpl 0000 0000 dph 0000 0000 pcon 00x1 0000 87h 0/8 1/9 2/a 3/b 4/c 5/d 6/e 7/f
5 t89c51rb2/rc2 4105d?8051?10/06 pin configurations figure 2. pin configurations p1.7cex4/mosi p1.4/cex1 rst p3.0/rxd p3.1/txd p1.3cex0 1 p1.5/cex2/miso p1.6/cex3/sck p3.2/int0 p3.3/int1 p3.4/t0 p3.5/t1 p3.6/wr p3.7/rd xtal2 xtal1 vss p2.0/a8 p2.1/a9 p2.2/a10 p2.3/a11 p2.4/a12 p0.4/ad4 p0.6/ad6 p0.5/ad5 p0.7/ad7 ale/prog psen ea p2.7/a15 p2.5/a13 p2.6/a14 p1.0/t2 p1.2/eci p1.1/t2ex/ss vcc p0.0/ad0 p0.1/ad1 p0.2/ad2 p0.3/ad3 pdil40 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 40 39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 43 42 41 40 39 44 38 37 36 35 34 p1.4/cex1 p1.0/t2 p1.1/t2ex/ss p1.3/cex0 p1.2/eci nic* vcc p0.0/ad0 p0.2/ad2 p0.3/ad3 p0.1/ad1 p0.4/ad4 p0.6/ad6 p0.5/ad5 p0.7/ad7 ale/prog psen ea nic* p2.7/a15 p2.5/a13 p2.6/a14 p1.5/cex2/miso p1.6/cex3/sck p1.7/cex4/mosi rst p3.0/rxd nic* p3.1/txd p3.2/int0 p3.3/int1 p3.4/t0 p3.5/t1 p3.6/wr p3.7/rd xtal2 xtal1 vss p2.0/a8 p2.1/a9 p2.2/a10 p2.3/a11 p2.4/a12 nic* 12 13 17 16 15 14 20 19 18 21 22 33 32 31 30 29 28 27 26 25 24 23 vqfp44 1.4 1 2 3 4 5 6 7 8 9 10 11 18 19 23 22 21 20 26 25 24 27 28 5 4 3 2 1 6 44 43 42 41 40 p1.4/cex1 p1.0/t2 p1.1/t2ex/ss p1.3/cex0 p1.2/eci nic* vcc p0.0/ad0 p0.2/ad2 p0.1/ad1 p0.4/ad4 p0.6/ad6 p0.5/ad5 p0.7/ad7 ale/prog psen ea nic* p2.7/a15 p2.5/a13 p2.6/a14 p3.6/wr p3.7/rd xtal2 xtal1 vss p2.0/a8 p2.1/a9 p2.2/a10 p2.3/a11 p2.4/a12 p1.5/cex2/miso p1.6/cex3/sck p1.7/cex4/mosi rst p3.0/rxd nic* p3.1/txd p3.2/int0 p3.3/int1 p3.4/t0 p3.5/t1 p0.3/ad3 nic* 7 8 9 10 11 12 13 14 15 16 17 39 38 37 36 35 34 33 32 31 30 29 plcc44 *nic: no internal connection
6 t89c51rb2/rc2 4105d?8051?10/06 table 3. pin description for 40 - 44 pin packages mnemonic pin number type name and function dil lcc vqfp44 1.4 v ss 20 22 16 i ground : 0v reference v cc 40 44 38 i power supply : this is the power supply voltage for normal, idle and power - down operation p0.0 - p0.7 39 - 32 43 - 36 37 - 30 i/o port 0 : port 0 is an open-drain, bidirectional i/o port. port 0 pins that have 1s written to them float and can be used as high impedance inputs. port 0 must be polarized to v cc or v ss in order to prevent any parasitic current consumption. port 0 is also the multiplexed low - order address and data bus during access to external program and data memory. in this application, it uses strong internal pull - up when emitting 1s. port 0 also inputs the code bytes during flash programming. external pull - ups are required during program verification during which p0 outputs the code bytes. p1.0 - p1.7 1 - 8 2 - 9 40 - 44 1 - 3 i/o port 1 : port 1 is an 8 - bit bidirectional i/o port with internal pull - ups. port 1 pins that have 1s written to them are pulled high by the internal pull - ups and can be used as inputs. as inputs, port 1 pins that are externally pulled low will source current because of the internal pull - ups. port 1 also receives the low - order address byte during memory programming and verification. alternate functions for t89c51rb2/rc2 port 1 include: 1 2 40 i/o p1.0 : input / output i/o t2 (p1.0): timer/counter 2 external count input/clockout 2 3 41 i/o p1.1: input / output i t2ex: timer/counter 2 reload/capture/direction control i ss : spi slave select 3 4 42 i/o p1.2: input / output i eci: external clock for the pca 4 5 43 i/o p1.3: input / output i/o cex0: capture/compare external i/o for pca module 0 5 6 44 i/o p1.4: input / output i/o cex1: capture/compare external i/o for pca module 1 6 7 1 i/o p1.5: input / output i/o cex2: capture/compare external i/o for pca module 2 i/o miso: spi master input slave output line when spi is in master mode, miso receives data from the slave peripheral. when spi is in slave mode, miso outputs data to the master controller. 7 8 2 i/o p1.6 : input / output i/o cex3: capture/compare external i/o for pca module 3 i/o sck: spi serial clock sck outputs clock to the slave peripheral 8 9 3 i/o p1.7 : input / output:
7 t89c51rb2/rc2 4105d?8051?10/06 i/o cex4: capture/compare external i/o for pca module 4 p1.0 - p1.7 i/o mosi : spi master output slave input line when spi is in master mode, mosi outputs data to the slave peripheral. when spi is in slave mode, mosi receives data from the master controller. xtal1 19 21 15 i crystal 1: input to the inverting oscillator amplifier and input to the internal clock generator circuits. xtal2 18 20 14 o crystal 2: output from the inverting oscillator amplifier p2.0 - p2.7 21 - 28 24 - 31 18 - 25 i/o port 2 : port 2 is an 8 - bit bidirectional i/o port with internal pull - ups. port 2 pins that have 1s written to them are pulled high by the internal pull - ups and can be used as inputs. as inputs, port 2 pins that are externally pulled low will source current because of the internal pull - ups. port 2 emits the high - order address byte during fetches from external program memory and during accesses to external data memory that use 16 - bit addresses (movx @dptr). in this application, it uses strong internal pull - ups emitting 1s. during accesses to external data memory that use 8 - bit addresses (movx @ri), port 2 emits the contents of the p2 sfr. some port 2 pins receive the high order address bits during eprom programming and verification: p2.0 to p2.5 for 16 kb devices p2.0 to p2.6 for 32kb devices p3.0 - p3.7 10 - 17 11, 13 - 19 5, 7 - 13 i/o port 3: port 3 is an 8 - bit bidirectional i/o port with internal pull - ups. port 3 pins that have 1s written to them are pulled high by the internal pull - ups and can be used as inputs. as inputs, port 3 pins that are externally pulled low will source current because of the internal pull - ups. port 3 also serves the special features of the 80c51 family, as listed below. 10 11 5 i rxd (p3.0): serial input port 11 13 7 o txd (p3.1): serial output port 12 14 8 i int0 (p3.2): external interrupt 0 13 15 9 i int1 (p3.3): external interrupt 1 14 16 10 i t0 (p3.4): timer 0 external input 15 17 11 i t1 (p3.5): timer 1 external input 16 18 12 o wr (p3.6): external data memory write strobe 17 19 13 o rd (p3.7): external data memory read strobe rst 9 10 4 i/o reset: a high on this pin for two machine cycles while the oscillator is running, resets the device. an internal diffused resistor to v ss permits a power - on reset using only an external capacitor to v cc . this pin is an output when the hardware watchdog forces a system reset. ale/prog 30 33 27 o (i) address latch enable/program pulse: output pulse for latching the low byte of the address during an access to external memory. in normal operation, ale is emitted at a constant rate of 1/6 (1/3 in x2 mode) the oscillator frequency, and can be used for external timing or clocking. note that one ale pulse is skipped during each access to external data memory. this pin is also the program pulse input (prog ) during flash programming. ale can be disabled by setting sfr?s auxr. 0 bit. with this bit set, ale will be inactive during internal fetches. table 3. pin description for 40 - 44 pin packages (continued) mnemonic pin number type name and function dil lcc vqfp44 1.4
8 t89c51rb2/rc2 4105d?8051?10/06 psen 29 32 26 o program strobe enable: the read strobe to external program memory. when executing code from the external program memory, psen is activated twice each machine cycle, except that two psen activations are skipped during each access to external data memory. psen is not activated during fetches from internal program memory. ea 31 35 29 i external access enable: ea must be externally held low to enable the device to fetch code from external program memory locations 0000h to ffffh (rd). if security level 1 is programmed, ea will be internally latched on reset. table 3. pin description for 40 - 44 pin packages (continued) mnemonic pin number type name and function dil lcc vqfp44 1.4
9 t89c51rb2/rc2 4105d?8051?10/06 oscillator in order to optimize the power consumption and execution time needed for a specific task, an internal, prescaler feature has been implemented between oscillator and the cpu and peripherals. registers table 4. ckrl register ckrl ? clock reload register (97h) reset value = 1111 1111b not bit addressable table 5. pcon register pcon ? power control register (87h) reset value = 00x1 0000b not bit addressable 76543210 -------- bit number mnemonic description 7:0 ckrl clock reload register: prescaler value 76543210 smod1 smod0 - pof gf1 gf0 pd idl bit number bit mnemonic description 7smod1 serial port mode bit 1 set to select double baud rate in mode 1, 2 or 3. 6smod0 serial port mode bit 0 cleared to select sm0 bit in scon register. set to select fe bit in scon register. 5- reserved the value read from this bit is indeterminate. do not set this bit. 4pof power-off flag cleared to recognize next reset type. set by hardware when vcc rises from 0 to its nominal voltage. can also be set by software. 3gf1 general purpose flag cleared by software for general purpose usage. set by software for general purpose usage. 2gf0 general purpose flag cleared by software for general purpose usage. set by software for general purpose usage. 1pd power-down mode bit cleared by hardware when reset occurs. set to enter power-down mode. 0idl idle mode bit cleared by hardware when interrupt or reset occurs. set to enter idle mode.
10 t89c51rb2/rc2 4105d?8051?10/06 functional block diagram figure 3. functional oscillator block diagram prescaler divider ? a hardware reset puts the prescaler divider in the following state: ?ckrl = ffh: f clk cpu = f clk periph = f osc /2 (standard c51 feature) ? any value between ffh down to 00h can be written by software into ckrl register in order to divide frequency of the selected oscillator: ? ckrl = 00h: minimum frequency f clk cpu = f clk periph = f osc /1020 (standard mode) f clk cpu = f clk periph = f osc /510 (x2 mode) ? ckrl = ffh: maximum frequency f clk cpu = f clk periph = f osc /2 (standard mode) f clk cpu = f clk periph = f osc (x2 mode) f clk cpu and f clk periph in x2 mode: in x1 mode: xtal2 xtal1 osc clk idle cpu clock ckrl reload 8-bit prescaler-divider reset peripheral clock :2 x2 0 1 f osc ckcon0 clk periph cpu f cpu f = clkperiph f osc 2 255 ckrl C () -------------------------------------------- --- = f cpu f = clkperiph f osc 4 255 ckrl C () -------------------------------------------- --- =
11 t89c51rb2/rc2 4105d?8051?10/06 enhanced features in comparison to the original 80c52, the t89c51rb2/rc2 implements some new fea- tures, which are : ? the x2 option ? the dual data pointer ? the extended ram ? the programmable counter array (pca) ? the hardware watchdog ? the spi interface ? the 4-level interrupt priority system ? the power-off flag ? the once mode ? the ale disabling ? some enhanced features are also located in the uart and the timer 2 x2 feature the t89c51rb2/rc2 core needs only 6 clock periods per machine cycle. this feature called ?x2? provides the following advantages: ? divide frequency crystals by 2 (cheaper crystals) while keeping same cpu power. ? save power consumption while keeping same cpu power (oscillator power saving). ? save power consumption by dividing dynamically the operating frequency by 2 in operating and idle modes. ? increase cpu power by 2 while keeping same crystal frequency. in order to keep the original c51 compatibility, a divider by 2 is inserted between the xtal1 signal and the main clock input of the core (phase generator). this divider may be disabled by software. description the clock for the whole circuit and peripherals is first divided by two before being used by the cpu core and the peripherals. this allows any cyclic ratio to be accepted on xtal1 input. in x2 mode, as this divider is bypassed, the signals on xtal1 must have a cyclic ratio between 40 to 60%. figure 4 shows the clock generation block diagram. x2 bit is validated on the rising edge of the xtal1 2 to avoid glitches when switching from x2 to std mode. figure 5 shows the switching mode waveforms. figure 4. clock generation diagram xtal1 2 ckcon0 x2 8 bit prescaler f osc fxtal 0 1 xtal1:2 f clk cpu f clk periph ckrl
12 t89c51rb2/rc2 4105d?8051?10/06 figure 5. mode switching waveforms the x2 bit in the ckcon0 register (see table 6) allows a switch from 12 clock periods per instruction to 6 clock periods and vice versa. at reset, the speed is set according to x2 bit of hardware security byte (hsb). by default, standard mode is active. setting the x2 bit activates the x2 feature (x2 mode). the t0x2, t1x2, t2x2, uartx2, pcax2, and wdx2 bits in the ckcon0 register (see table 6.) and spix2 bit in the ckcon1 register (see table 7) allows a switch from stan- dard peripheral speed (12 clock periods per peripheral clock cycle) to fast peripheral speed (6 clock periods per peripheral clock cycle). these bits are active only in x2 mode. xtal1:2 xtal1 cpu clock x2 bit x2 mode std mode std mode f osc
13 t89c51rb2/rc2 4105d?8051?10/06 table 6. ckcon0 register ckcon0 - clock control register (8fh) reset value = 0000 000?hsb. x2?b (see table 70 ?hardware security byte?) not bit addressable 76543210 - wdx2 pcax2 six2 t2x2 t1x2 t0x2 x2 bit number bit mnemonic description 7 reserved 6wdx2 watchdog clock (this control bit is validated when the cpu clock x2 is set; when x2 is low, this bit has no effect). cleared to select 6 clock periods per peripheral clock cycle. set to select 12 clock periods per peripheral clock cycle. 5pcax2 programmable counter array clock (this control bit is validated when the cpu clock x2 is set; when x2 is low, this bit has no effect). cleared to select 6 clock periods per peripheral clock cycle. set to select 12 clock periods per peripheral clock cycle. 4six2 enhanced uart clock (mode 0 and 2) (this control bit is validated when the cpu clock x2 is set; when x2 is low, this bit has no effect). cleared to select 6 clock periods per peripheral clock cycle. set to select 12 clock periods per peripheral clock cycle. 3t2x2 timer2 clock (this control bit is validated when the cpu clock x2 is set; when x2 is low, this bit has no effect). cleared to select 6 clock periods per peripheral clock cycle. set to select 12 clock periods per peripheral clock cycle. 2t1x2 timer1 clock (this control bit is validated when the cpu clock x2 is set; when x2 is low, this bit has no effect). cleared to select 6 clock periods per peripheral clock cycle. set to select 12 clock periods per peripheral clock cycle. 1t0x2 timer0 clock (this control bit is validated when the cpu clock x2 is set; when x2 is low, this bit has no effect). cleared to select 6 clock periods per peripheral clock cycle. set to select 12 clock periods per peripheral clock cycle. 0x2 cpu clock cleared to select 12 clock periods per machine cycle (std mode) for cpu and all the peripherals. set to select 6clock periods per machine cycle (x2 mode) and to enable the individual peripherals?x2? bits. programmed by hardware after power-up regarding hardware security byte (hsb), default setting, x2 is cleared.
14 t89c51rb2/rc2 4105d?8051?10/06 table 7. ckcon1 register ckcon1 - clock control register (afh) reset value = xxxx xxx0b not bit addressable 76543210 -------spix2 bit number bit mnemonic description 7- reserved 6- reserved 5- reserved 4- reserved 3- reserved 2- reserved 1- reserved 0spix2 spi (this control bit is validated when the cpu clock x2 is set; when x2 is low, this bit has no effect). clear to select 6 clock periods per peripheral clock cycle. set to select 12 clock periods per peripheral clock cycle.
15 t89c51rb2/rc2 4105d?8051?10/06 dual data pointer register dptr the additional data pointer can be used to speed up code execution and reduce code size. the dual dptr structure is a way by which the chip will specify the address of an exter- nal data memory location. there are two 16-bit dptr registers that address the external memory, and a single bit called dps = auxr1.0 (see table 8) that allows the program code to switch between them (refer to figure 6). figure 6. use of dual pointer external data memory auxr1(a2h) dps dph(83h) dpl(82h) 0 7 dptr0 dptr1
16 t89c51rb2/rc2 4105d?8051?10/06 table 8. auxr1 register auxr1- auxiliary register 1(0a2h) reset value: xxxx xx0x0b not bit addressable note: *bit 2 stuck at 0; this allows to use inc auxr1 to toggle dps without changing gf3. assembly language ; block move using dual data pointers ; modifies dptr0, dptr1, a and psw ; note: dps exits opposite of entry state ; unless an extra inc auxr1 is added ; 00a2 auxr1 equ 0a2h ; 0000 909000mov dptr,#source ; address of source 0003 05a2 inc auxr1 ; switch data pointers 0005 90a000 mov dptr,#dest ; address of dest 0008 loop: 0008 05a2 inc auxr1 ; switch data pointers 000a e0 movx a,@dptr ; get a byte from source 000b a3 inc dptr ; increment source address 000c 05a2 inc auxr1 ; switch data pointers 000e f0 movx @dptr,a ; write the byte to dest 000f a3 inc dptr ; increment dest address 0010 70f6jnz loop ; check for 0 terminator 0012 05a2 inc auxr1 ; (optional) restore dps 76543210 - - enboot - gf3 0 - dps bit number bit mnemonic description 7- reserved the value read from this bit is indeterminate. do not set this bit. 6- reserved the value read from this bit is indeterminate. do not set this bit. 5 enboot enable boot flash cleared to disable boot rom. set to map the boot rom between f800h - 0ffffh. 4- reserved the value read from this bit is indeterminate. do not set this bit. 3gf3 this bit is a general purpose user flag. * 20 always cleared. 1- reserved the value read from this bit is indeterminate. do not set this bit. 0dps data pointer selection cleared to select dptr0. set to select dptr1.
17 t89c51rb2/rc2 4105d?8051?10/06 inc is a short (2 bytes) and fast (12 clocks) way to manipulate the dps bit in the auxr1 sfr. however, note that the inc instruction does not directly force the dps bit to a par- ticular state, but simply toggles it. in simple routines, such as the block move example, only the fact that dps is toggled in the proper sequence matters, not its actual value. in other words, the block move routine works the same whether dps is '0' or '1' on entry. observe that without the last instruction (inc auxr1), the routine will exit with dps in the opposite state.
18 t89c51rb2/rc2 4105d?8051?10/06 expanded ram (xram) the t89c51rb2/rc2 provides additional bytes of random access memory (ram) space for increased data parameter handling and high level language usage. t89c51rb2/rc2 devices have expanded ram in external data space; maximum size and location are described in table 9. table 9. expanded ram the t89c51rb2/rc2 has internal data memory that is mapped into four separate segments. the four segments are: 1. the lower 128 bytes of ram (addresses 00h to 7fh) are directly and indirectly addressable. 2. the upper 128 bytes of ram (addresses 80h to ffh) are indirectly addressable only. 3. the special function registers, sfrs, (addresses 80h to ffh) are directly addressable only. 4. the expanded ram bytes are indirectly accessed by movx instructions, and with the extram bit cleared in the auxr register (see table 9). the lower 128 bytes can be accessed by either direct or indirect addressing. the upper 128 bytes can be accessed by indirect addressing only. the upper 128 bytes occupy the same address space as the sfr. that means they have the same address, but are physically separate from sfr space. figure 7. internal and external data memory address when an instruction accesses an internal location above address 7fh, the cpu knows whether the access is to the upper 128 bytes of data ram or to sfr space by the addressing mode used in the instruction. ? instructions that use direct addressing access sfr space. for example: mov 0a0h, # data, accesses the sfr at location 0a0h (which is p2). part number xram size address start end t89c51rb2/rc2 1024 00h 3ffh xram upper 128 bytes internal ram lower 128 bytes internal ram special function register 80h 80h 00 0ffh or 3ffh 0ffh 00 0ffh external data memory 0000 00ffh up to 03ffh 0ffffh indirect accesses direct accesses direct or indirect accesses 7fh
19 t89c51rb2/rc2 4105d?8051?10/06 ? instructions that use indirect addressing access the upper 128 bytes of data ram. for example: mov @r0, # data where r0 contains 0a0h, accesses the data byte at address 0a0h, rather than p2 (whose address is 0a0h). ? the xram bytes can be accessed by indirect addressing, with extram bit cleared and movx instructions. this part of memory which is physically located on-chip, logically occupies the first bytes of external data memory. the bits xrs0 and xrs1 are used to hide a part of the available xram as explained in table 9. this can be useful if external peripherals are mapped at addresses already used by the internal xram. ? with extram = 0, the xram is indirectly addressed, using the movx instruction in combination with any of the registers r0, r1 of the selected bank or dptr. an access to xram will not affect ports p0, p2, p3.6 (wr) and p3.7 (rd). for example, with extram = 0, movx @r0, # data where r0 contains 0a0h, accesses the xram at address 0a0h rather than external memory. an access to external data memory locations higher than the accessible size of the xram will be performed with the movx dptr instructions in the same way as in the standard 80c51, with p0 and p2 as data/address busses, and p3.6 and p3.7 as write and read timing signals. accesses to xram above 0ffh can only be done by the use of dptr. ? with extram = 1 , movx @ri and movx @dptr will be similar to the standard 80c51.movx @ ri will provide an eight-bit address multiplexed with data on port0 and any output port pins can be used to output higher order address bits. this is to provide the external paging capability. movx @dptr will generate a sixteen-bit address. port2 outputs the high-order eight address bits (the contents of dph) while port0 multiplexes the low-order eight address bits (dpl) with data. movx @ ri and movx @dptr will generate either read or write signals on p3.6 (wr ) and p3.7 (rd ). the stack pointer (sp) may be located anywhere in the 256 bytes ram (lower and upper ram) internal data memory. the stack may not be located in the xram. the m0 bit allows to stretch the xram timings; if m0 is set, the read and write pulses are extended from 6 to 30 clock periods. this is useful to access external slow peripherals.
20 t89c51rb2/rc2 4105d?8051?10/06 registers table 10. auxr register auxr - auxiliary register (8eh) reset value = xx0x 00?hsb. xram?0b (see table 70) not bit addressable 76543210 - - m0 - xrs1 xrs0 extram ao bit number bit mnemonic description 7- reserved the value read from this bit is indeterminate. do not set this bit. 6- reserved the value read from this bit is indeterminate. do not set this bit. 5m0 pulse length cleared to stretch movx control: the rd/ and the wr/ pulse length is 6 clock periods (default). set to stretch movx control: the rd/ and the wr/ pulse length is 30 clock periods. 4- reserved the value read from this bit is indeterminate. do not set this bit. 3xrs1 xram size xrs1 xrs0 xram size 0 0 256 bytes (default) 0 1 512 bytes 1 0 768 bytes 1 1 1024 bytes 2xrs0 1 extram extram bit cleared to access internal xram using movx @ ri/ @ dptr. set to access external memory. programmed by hardware after power-up regarding hardware security byte (hsb), default setting, xram selected. 0ao ale output bit cleared, ale is emitted at a constant rate of 1/6 the oscillator frequency (or 1/3 if x2 mode is used). (default) set, ale is active only during a movx or movc instruction is used.
21 t89c51rb2/rc2 4105d?8051?10/06 timer 2 the timer 2 in the t89c51rb2/rc2 is the standard c52 timer 2. it is a 16-bit timer/counter: the count is maintained by two eight-bit timer registers, th2 and tl2 are cascaded. it is controlled by t2con (table 11) and t2mod (table 12) registers. timer 2 operation is similar to timer 0 and timer 1.c/t2 selects f osc /12 (timer operation) or external pin t2 (counter operation) as the timer clock input. setting tr2 allows tl2 to increment by the selected input. timer 2 has 3 operating modes: capture, autoreload and baud rate generator. these modes are selected by the combination of rclk, tclk and cp/rl2 (t2con). refer to the atmel 8-bit microcontroller hardware description for the description of cap- ture and baud rate generator modes. timer 2 includes the following enhancements: ? auto-reload mode with up or down counter ? programmable clock-output auto-reload mode the auto-reload mode configures timer 2 as a 16-bit timer or event counter with auto- matic reload. if dcen bit in t2mod is cleared, timer 2 behaves as in 80c52 (refer to the atmel c51 microcontroller hardware description). if dcen bit is set, timer 2 acts as an up/down timer/counter as shown in figure 8. in this mode the t2ex pin controls the direction of count. when t2ex is high, timer 2 counts up. timer overflow occurs at ffffh which sets the tf2 flag and generates an interrupt request. the overflow also causes the 16-bit value in rcap2h and rcap2l registers to be loaded into the timer registers th2 and tl2. when t2ex is low, timer 2 counts down. timer underflow occurs when the count in the timer registers th2 and tl2 equals the value stored in rcap2h and rcap2l registers. the underflow sets tf2 flag and reloads ffffh into the timer registers. the exf2 bit toggles when timer 2 overflows or underflows according to the direction of the count. exf2 does not generate any interrupt. this bit can be used to provide 17-bit resolution.
22 t89c51rb2/rc2 4105d?8051?10/06 figure 8. auto-reload mode up/down counter (dcen = 1) programmable clock- output in the clock-out mode, timer 2 operates as a 50%-duty-cycle, programmable clock gen- erator (see figure 9). the input clock increments tl2 at frequency f clk periph /2.the timer repeatedly counts to overflow from a loaded value. at overflow, the contents of rcap2h and rcap2l registers are loaded into th2 and tl2.in this mode, timer 2 overflows do not generate interrupts. the formula gives the clock-out frequency as a function of the system oscillator frequency and the value in the rcap2h and rcap2l registers: for a 16 mhz system clock, timer 2 has a programmable frequency range of 61 hz (f clk periph /2 16 ) to 4 mhz (f clk periph /4). the generated clock signal is brought out to t2 pin (p1.0). timer 2 is programmed for the clock-out mode as follows: ? set t2oe bit in t2mod register. ? clear c/t2 bit in t2con register. ? determine the 16-bit reload value from the formula and enter it in rcap2h/rcap2l registers. ? enter a 16-bit initial value in timer registers th2/tl2.it can be the same as the reload value or a different one depending on the application. ? to start the timer, set tr2 run control bit in t2con register. it is possible to use timer 2 as a baud rate generator and a clock generator simulta- neously. for this configuration, the baud rates and clock frequencies are not independent since both functions use the values in the rcap2h and rcap2l registers. (down counting reload value) c/t2 tf2 tr2 t2 exf2 th2 (8-bit) tl2 (8-bit) rcap2h (8-bit) rcap2l ( 8-bit) ffh (8-bit) ffh (8-bit) toggle (up counting reload value) timer 2 interrup t f clk periph 0 1 t2con t2con t2con t2con t2ex: if dcen=1, 1=up if dcen=1, 0=down if dcen = 0, up countin g : 6 clock o C utfrequency f clkperiph 4 65536 rcap 2 hrcap 2 l ?) C ( -------------------------------------------------------------------------------------------- - =
23 t89c51rb2/rc2 4105d?8051?10/06 figure 9. clock-out mode c/t2 = 0 :6 exf2 tr2 over- flow t2ex th 2 (8-bit) tl2 (8-bit) timer 2 rcap2h (8-bit) rcap2l (8-bit) t2oe t2 fclk periph t2con t2con t2con t2mod interrupt qd tog gl e exen2
24 t89c51rb2/rc2 4105d?8051?10/06 registers table 11. t2con register t2con - timer 2 control register (c8h) reset value = 0000 0000b bit addressable 76543210 tf2 exf2 rclk tclk exen2 tr2 c/t2# cp/rl2# bit number bit mnemonic description 7 tf2 timer 2 overflow flag must be cleared by software. set by hardware on timer 2 overflow, if rclk = 0 and tclk = 0. 6exf2 timer 2 external flag set when a capture or a reload is caused by a negative transition on t2ex pin if exen2=1. when set, causes the cpu to vector to timer 2 interrupt routine when timer 2 interrupt is enabled. must be cleared by software. exf2 doesn?t cause an interrupt in up/down counter mode (dcen = 1). 5 rclk receive clock bit cleared to use timer 1 overflow as receive clock for serial port in mode 1 or 3. set to use timer 2 overflow as receive clock for serial port in mode 1 or 3. 4tclk transmit clock bit cleared to use timer 1 overflow as transmit clock for serial port in mode 1 or 3. set to use timer 2 overflow as transmit clock for serial port in mode 1 or 3. 3 exen2 timer 2 external enable bit cleared to ignore events on t2ex pin for timer 2 operation. set to cause a capture or reload when a negative transition on t2ex pin is detected, if timer 2 is not used to clock the serial port. 2tr2 timer 2 run control bit cleared to turn off timer 2. set to turn on timer 2. 1c/t2# timer/counter 2 select bit cleared for timer operation (input from internal clock system: f clk periph ). set for counter operation (input from t2 input pin, falling edge trigger). must be 0 for clock out mode. 0cp/rl2# timer 2 capture/reload bi t if rclk=1 or tclk=1, cp/rl2# is ignored and timer is forced to auto-reload on timer 2 overflow. cleared to auto-reload on timer 2 overflows or negative transitions on t2ex pin if exen2=1. set to capture on negative transitions on t2ex pin if exen2=1.
25 t89c51rb2/rc2 4105d?8051?10/06 table 12. t2mod register t2mod - timer 2 mode control register (c9h) reset value = xxxx xx00b not bit addressable 76543210 ------t2oedcen bit number bit mnemonic description 7- reserved the value read from this bit is indeterminate. do not set this bit. 6- reserved the value read from this bit is indeterminate. do not set this bit. 5- reserved the value read from this bit is indeterminate. do not set this bit. 4- reserved the value read from this bit is indeterminate. do not set this bit. 3- reserved the value read from this bit is indeterminate. do not set this bit. 2- reserved the value read from this bit is indeterminate. do not set this bit. 1t2oe timer 2 output enable bit cleared to program p1.0/t2 as clock input or i/o port. set to program p1.0/t2 as clock output. 0 dcen down counter enable bit cleared to disable timer 2 as up/down counter. set to enable timer 2 as up/down counter.
26 t89c51rb2/rc2 4105d?8051?10/06 programmable counter array pca the pca provides more timing capabilities with less cpu intervention than the standard timer/counters. its advantages include reduced software overhead and improved accu- racy. the pca consists of a dedicated timer/counter which serves as the time base for an array of five compare/capture modules. its clock input can be programmed to count any one of the following signals: ? peripheral clock frequency (f clk periph ) 6 ? peripheral clock frequency (f clk periph ) 2 ? timer 0 overflow ? external input on eci (p1.2) each compare/capture modules can be programmed in any one of the following modes: ? rising and/or falling edge capture ? software timer ? high-speed output ? pulse width modulator module 4 can also be programmed as a watchdog timer (see section "pca watchdog timer", page 37). when the compare/capture modules are programmed in the capture mode, software timer, or high speed output mode, an interrupt can be generated when the module exe- cutes its function. all five modules plus the pca timer overflow share one interrupt vector. the pca timer/counter and compare/capture modules share port 1 for external i/o. these pins are listed below. if the port is not used for the pca, it can still be used for standard i/o. the pca timer is a common time base for all five modules (see figure 10). the timer count source is determined from the cps1 and cps0 bits in the cmod register (table 13) and can be programmed to run at: ? 1/6 the peripheral clock frequency (f clk periph ) ? 1/2 the peripheral clock frequency (f clk periph ) ? the timer 0 overflow ? the input on the eci pin (p1.2) pca component external i/o pin 16-bit counter p1.2 / eci 16-bit module 0 p1.3 / cex0 16-bit module 1 p1.4 / cex1 16-bit module 2 p1.5 / cex2 16-bit module 3 p1.6 / cex3
27 t89c51rb2/rc2 4105d?8051?10/06 figure 10. pca timer/counter cidl cps1 cps0 ecf it ch cl 16 bit up/down counter to pca modules fclk periph /6 fclk periph / 2 t0 ovf p1.2 idle cmod 0xd9 wdte cf cr ccon 0xd8 ccf4 ccf3 ccf2 ccf1 ccf0 overflow
28 t89c51rb2/rc2 4105d?8051?10/06 registers table 13. cmod register cmod - pca counter mode register (d9h) reset value = 00xx x000b not bit addressable the cmod register includes three additional bits associated with the pca (see figure 10 and table 13). ? the cidl bit which allows the pca to stop during idle mode. ? the wdte bit which enables or disables the watchdog function on module 4. ? the ecf bit which when set causes an interrupt and the pca overflow flag cf (in the ccon sfr) to be set when the pca timer overflows. the ccon register contains the run control bit for the pca and the flags for the pca timer (cf) and each module (refer to table 14). ? bit cr (ccon. 6) must be set by software to run the pca. the pca is shut off by clearing this bit. ? bit cf: the cf bit (ccon. 7) is set when the pca counter overflows and an interrupt will be generated if the ecf bit in the cmod register is set. the cf bit can only be cleared by software. ? bits 0 through 4 are the flags for the modules (bit 0 for module 0, bit 1 for module 1, etc. ) and are set by hardware when either a match or a capture occurs. these flags also can only be cleared by software. 76543210 cidl wdte - - - cps1 cps0 ecf bit number bit mnemonic description 7cidl counter idle control cleared to program the pca counter to continue functioning during idle mode. set to program pca to be gated off during idle. 6wdte watchdog timer enable cleared to disable watchdog timer function on pca module 4. set to enable watchdog timer function on pca module 4. 5- reserved the value read from this bit is indeterminate. do not set this bit. 4- reserved the value read from this bit is indeterminate. do not set this bit. 3- reserved the value read from this bit is indeterminate. do not set this bit. 2 cps1 pca count pulse select cps1 cps0 selected pca input 0 0 internal clock fclk periph/6 0 1 internal clock fclk periph/2 1 0 timer 0 overflow 1 1 external clock at eci/p1.2 pin (max rate = fclk periph/ 4) 1 cps0 0ecf pca enable counter overflow interrupt cleared to disable cf bit in ccon to inhibit an interrupt. set to enable cf bit in ccon to generate an interrupt.
29 t89c51rb2/rc2 4105d?8051?10/06 table 14. ccon register ccon - pca counter control register (d8h) reset value = 000x 0000b not bit addressable the watchdog timer function is implemented in module 4 (see figure 13). the pca interrupt system is shown in figure 11. 76543210 cf cr - ccf4 ccf3 ccf2 ccf1 ccf0 bit number bit mnemonic description 7cf pca counter overflow flag set by hardware when the counter rolls over. cf flags an interrupt if bit ecf in cmod is set. cf may be set by either hardware or software but can only be cleared by software. 6cr pca counter run control bit must be cleared by software to turn the pca counter off. set by software to turn the pca counter on. 5- reserved the value read from this bit is indeterminate. do not set this bit. 4 ccf4 pca module 4 interrupt flag must be cleared by software. set by hardware when a match or capture occurs. 3 ccf3 pca module 3 interrupt flag must be cleared by software. set by hardware when a match or capture occurs. 2 ccf2 pca module 2 interrupt flag must be cleared by software. set by hardware when a match or capture occurs. 1 ccf1 pca module 1 interrupt flag must be cleared by software. set by hardware when a match or capture occurs. 0 ccf0 pca module 0 interrupt flag must be cleared by software. set by hardware when a match or capture occurs.
30 t89c51rb2/rc2 4105d?8051?10/06 figure 11. pca interrupt system pca modules: each one of the five compare/capture modules has six possible func- tions. it can perform: ? 16-bit capture, positive-edge triggered ? 16-bit capture, negative-edge triggered ? 16-bit capture, both positive and negative-edge triggered ? 16-bit software timer ? 16-bit high speed output ? 8-bit pulse width modulator in addition, module 4 can be used as a watchdog timer. each module in the pca has a special function register associated with it. these regis- ters are: ccapm0 for module 0, ccapm1 for module 1, etc. (see table 15). the registers contain the bits that control the mode that each module will operate in. ? the eccf bit (ccapmn. 0 where n = 0, 1, 2, 3, or 4 depending on the module) enables the ccf flag in the ccon sfr to generate an interrupt when a match or compare occurs in the associated module. ? pwm (ccapmn. 1) enables the pulse width modulation mode. ? the tog bit (ccapmn. 2) when set causes the cex output associated with the module to toggle when there is a match between the pca counter and the module's capture/compare register. ? the match bit mat (ccapmn. 3) when set will cause the ccfn bit in the ccon register to be set when there is a match between the pca counter and the module's capture/compare register. ? the next two bits capn (ccapmn. 4) and capp (ccapmn. 5) determine the edge that a capture input will be active on. the capn bit enables the negative edge, and the capp bit enables the positive edge. if both bits are set both edges will be enabled and a captur e will occur for either transition. ? the last bit in the register ecom (ccapmn. 6) when set enables the comparator function. table 15 shows the ccapmn settings for the various pca functions. cf cr ccon 0xd8 ccf4 ccf3 ccf2 ccf1 ccf0 module 4 module 3 module 2 module 1 module 0 ecf pca timer/counter eccfn ccapmn. 0 cmod. 0 ie. 6 ie. 7 to interrupt priority decoder ec ea
31 t89c51rb2/rc2 4105d?8051?10/06 table 15. ccapmn registers (n = 0-4) ccapm0 - pca module 0 compare/capture control register (0dah) ccapm1 - pca module 1 compare/capture control register (0dbh) ccapm2 - pca module 2 compare/capture control register (0dch) ccapm3 - pca module 3 compare/capture control register (0ddh) ccapm4 - pca module 4 compare/capture control register (0deh) reset value = x000 0000b not bit addressable 76543210 - ecomn cappn capnn matn togn pwmn eccfn bit number bit mnemonic description 7- reserved the value read from this bit is indeterminate. do not set this bit. 6ecomn enable comparator cleared to disable the comparator function. set to enable the comparator function. 5 cappn capture positive cleared to disable positive edge capture. set to enable positive edge capture. 4 capnn capture negative cleared to disable negative edge capture. set to enable negative edge capture. 3matn match when matn = 1, a match of the pca counter with this module's compare/capture register causes the ccfn bit in ccon to be set, flagging an interrupt. 2 togn to gg le when togn = 1, a match of the pca counter with this module's compare/capture register causes thecexn pin to toggle. 1pwmn pulse width modulation mode cleared to disable the cexn pin to be used as a pulse width modulated output. set to enable the cexn pin to be used as a pulse width modulated output. 0 ccf0 enable ccf interrupt cleared to disable compare/capture flag ccfn in the ccon register to generate an interrupt. set to enable compare/capture flag ccfn in the ccon register to generate an interrupt.
32 t89c51rb2/rc2 4105d?8051?10/06 table 16. pca module modes (ccapmn registers) there are two additional registers associated with each of the pca modules. they are ccapnh and ccapnl and these are the registers that store the 16-bit count when a capture occurs or a compare should occur. when a module is used in the pwm mode these registers are used to control the duty cycle of the output (see table 17 & table 18). table 17. ccapnh registers (n = 0-4) ccap0h - pca module 0 compare/capture control register high (0fah) ccap1h - pca module 1 compare/capture control register high (0fbh) ccap2h - pca module 2 compare/capture control register high (0fch) ccap3h - pca module 3 compare/capture control register high (0fdh) ccap4h - pca module 4 compare/capture control register high (0feh) reset value = 0000 0000b not bit addressable ecomn cappn capnn matn togn pwmm eccfn module function 0000000 no operation x10000x 16-bit capture by a positive-edge trigger on cexn x01000x 16-bit capture by a negative trigger on cexn x11000x 16-bit capture by a transition on cexn 100100x 16-bit software timer / compare mode. 100110x 16-bit high speed output 1000010 8-bit pwm 1001x0x watchdog timer (module 4 only) 76543210 -------- bit number bit mnemonic description 7-0 - pca module n compare/capture control ccapnh value
33 t89c51rb2/rc2 4105d?8051?10/06 table 18. ccapnl registers (n = 0-4) ccap0l - pca module 0 compare/capture control register low (0eah) ccap1l - pca module 1 compare/capture control register low (0ebh) ccap2l - pca module 2 compare/capture control register low (0ech) ccap3l - pca module 3 compare/capture control register low (0edh) ccap4l - pca module 4 compare/capture control register low (0eeh) reset value = 0000 0000b not bit addressable table 19. ch register ch - pca counter register high (0f9h) reset value = 0000 0000b not bit addressable table 20. cl register cl - pca counter register low (0e9h) reset value = 0000 0000b not bit addressable 76543210 -------- bit number bit mnemonic description 7-0 - pca module n compare/capture control ccapnl value 76543210 -------- bit number bit mnemonic description 7-0 - pca counter ch value 76543210 -------- bit number bit mnemonic description 7-0 - pca counter cl value
34 t89c51rb2/rc2 4105d?8051?10/06 pca capture mode to use one of the pca modules in the capture mode either one or both of the ccapm bits capn and capp for that module must be set. the external cex input for the mod- ule (on port 1) is sampled for a transition. when a valid transition occurs the pca hardware loads the value of the pca counter registers (ch and cl) into the module's capture registers (ccapnl and ccapnh). if the ccfn bit for the module in the ccon sfr and the eccfn bit in the ccapmn sfr are set then an interrupt will be generated (refer to figure 12). figure 12. pca capture mode cf cr ccon 0xd8 ch cl ccapnh ccapnl ccf4 ccf3 ccf2 ccf1 ccf0 pca it pca counter/timer ecomn ccapmn, n= 0 to 4 0xda to 0xde capnn matn togn pwmn eccfn cappn cex. n capture
35 t89c51rb2/rc2 4105d?8051?10/06 16-bit software timer/ compare mode the pca modules can be used as software timers by setting both the ecom and mat bits in the modules ccapmn register. the pca timer will be compared to the module's capture registers and when a match occurs, an interrupt will occur if the ccfn (ccon sfr) and the eccfn (ccapmn sfr) bits for the module are both set (see figure 13). figure 13. pca compare mode and pca watchdog timer before enabling ecom bit, ccapnl and ccapnh should be set with a non zero value, otherwise an unwanted match could happen. writing to ccapnh will set the ecom bit. once ecom set, writing ccapnl will clear ecom so that an unwanted match doesn?t occur while modifying the compare value. writing to ccapnh will set ecom. for this reason, user software should write ccapnl first, and then ccapnh. of course, the ecom bit can still be controlled by accessing to ccapmn register. ch cl ccapnh ccapnl ecomn ccapmn, n = 0 to 4 0xda to 0xde capnn matn togn pwmn eccfn cappn 16 bit comparator match ccon 0xd8 pca it enable pca counter/timer reset * cidl cps1 cps0 ecf cmod 0xd9 wdte * only for module 4 reset write to ccapnl write to ccapnh cf ccf2 ccf1 ccf0 cr ccf3 ccf4 10
36 t89c51rb2/rc2 4105d?8051?10/06 high speed output mode in this mode the cex output (on port 1) associated with the pca module will toggle each time a match occurs between the pca counter and the module's capture registers. to activate this mode the tog, mat, and ecom bits in the module's ccapmn sfr must be set (see figure 14). a prior write must be done to ccapnl and ccapnh before writing the ecomn bit. figure 14. pca high speed output mode before enabling ecom bit, ccapnl and ccapnh should be set with a non zero value, otherwise an unwanted match could happen. once ecom set, writing ccapnl will clear ecom so that an unwanted match doesn?t occur while modifying the compare value. writing to ccapnh will set ecom. for this reason, user software should write ccapnl first, and then ccapnh. of course, the ecom bit can still be controlled by accessing to ccapmn register. ch cl ccapnh ccapnl ecomn ccapmn, n = 0 to 4 0xda to 0xde capnn matn togn pwmn eccfn cappn 16 bit comparator match cf cr ccon 0xd8 ccf4 ccf3 ccf2 ccf1 ccf0 pca it enable cexn pca counter/timer write to ccapnh reset write to ccapnl 1 0
37 t89c51rb2/rc2 4105d?8051?10/06 pulse width modulator mode all of the pca modules can be used as pwm outputs. figure 15 shows the pwm func- tion. the frequency of the output depends on the source for the pca timer. all of the modules will have the same frequency of output because they all share the pca timer. the duty cycle of each module is independently variable using the module's capture register ccapln. when the value of the pca cl sfr is less than the value in the mod- ule's ccapln sfr the output will be low, when it is equal to or greater than the output will be high. when cl overflows from ff to 00, ccapln is reloaded with the value in ccaphn. this allows updating the pwm without glitches. the pwm and ecom bits in the module's ccapmn register must be set to enable the pwm mode. figure 15. pca pwm mode pca watchdog timer an on-board watchdog timer is available with the pca to improve the reliability of the system without increasing chip count. watchdog timers are useful for systems that are susceptible to noise, power glitches, or electrostatic discharge. module 4 is the only pca module that can be programmed as a watchdog. however, this module can still be used for other modes if the watchdog is not needed. figure 13 shows a diagram of how the watchdog works. the user pre-loads a 16-bit value in the compare registers. just like the other compare modes, this 16-bit value is compared to the pca timer value. if a match is allowed to occur, an internal reset will be generated. this will not cause the rst pin to be driven high. in order to hold off the reset, the user has three options: ? periodically change the compare value so it will never match the pca timer, ? periodically change the pca timer value so it will never match the compare values, or ? disable the watchdog by clearing the wdte bit before a match occurs and then re- enable it. the first two options are more reliable because the watchdog timer is never disabled as in option #3.if the program counter ever goes astray, a match will eventually occur and cl ccapnh ccapnl ecomn ccapmn, n= 0 to 4 0xda to 0xde capnn matn togn pwmn eccfn cappn 8 bit comparator cexn ?0? ?1? enable pca counter/timer overflow
38 t89c51rb2/rc2 4105d?8051?10/06 cause an internal reset. the second option is also not recommended if other pca mod- ules are being used. remember, the pca timer is the time base for all modules; changing the time base for other modules would not be a good idea. thus, in most appli- cations the first solution is the best option. this watchdog timer won?t generate a reset out on the reset pin.
39 t89c51rb2/rc2 4105d?8051?10/06 serial i/o port the serial i/o port in the t89c51rb2/rc2 is compatible with the serial i/o port in the 80c52. it provides both synchronous and asynchronous communication modes. it operates as a universal asynchronous receiver and transmitter (uart) in three full-duplex modes (modes 1, 2 and 3). asynchronous transmission and reception can occur simultaneously and at different baud rates serial i/o port includes the following enhancements: ? framing error detection ? automatic address recognition framing error detection framing bit error detection is provided for the three asynchronous modes (modes 1, 2 and 3). to enable the framing bit error detection feature, set smod0 bit in pcon regis- ter (see figure 16). figure 16. framing error block diagram when this feature is enabled, the receiver checks each incoming data frame for a valid stop bit. an invalid stop bit may result from noise on the serial lines or from simultaneous transmission by two cpus. if a valid stop bit is not found, the framing error bit (fe) in scon register (see table 24.) bit is set. software may examine fe bit after each reception to check for data errors. once set, only software or a reset can clear fe bit. subsequently received frames with valid stop bits cannot clear fe bit. when fe feature is enabled, ri rises on stop bit instead of the last data bit (see figure 17. and figure 18.). figure 17. uart timings in mode 1 ri ti rb8 tb8 ren sm2 sm1 sm0/fe idl pd gf0 gf1 pof - smod0 smod1 to uart framing error control sm0 to uart mode control (smod0 = 0) set fe bit if stop bit is 0 (framing error) (smod0 = 1) scon (98h) pcon (87h) data byte ri smod0=x stop bit start bit rxd d7 d6 d5 d4 d3 d2 d1 d0 fe smod0=1
40 t89c51rb2/rc2 4105d?8051?10/06 figure 18. uart timings in modes 2 and 3 automatic address recognition the automatic address recognition feature is enabled when the multiprocessor commu- nication feature is enabled (sm2 bit in scon register is set). implemented in hardware, automatic address recognition enhances the multiprocessor communication feature by allowing the serial port to examine the address of each incoming command frame. only when the serial port recognizes its own address, the receiver sets ri bit in scon register to generate an interrupt. this ensures that the cpu is not interrupted by command frames addressed to other devices. if desired, the user may enable the automatic address recognition feature in mode 1.in this configuration, the stop bit takes the place of the ninth data bit. bit ri is set only when the received command frame address matches the device?s address and is terminated by a valid stop bit. to support automatic address recognition, a device is identified by a given address and a broadcast address. note: the multiprocessor communication and automatic address recognition features cannot be enabled in mode 0 (i. e. setting sm2 bit in scon register in mode 0 has no effect). given address each device has an individual address that is specified in saddr register; the saden register is a mask byte that contains don?t-care bits (defined by zeros) to form the device?s given address. the don?t-care bits provide the flex ibility to addre ss one or more slaves at a time. the following example illustrates how a giv en address is formed. to address a device by its individual address, the saden mask byte must be 1111 1111b . for example: saddr0101 0110b saden 1111 1100b given0101 01xxb the following is an example of how to use given addresses to address different slaves: slave a:saddr1111 0001b saden 1111 1010b given1111 0x0xb slave b:saddr1111 0011b saden 1111 1001b given1111 0x x1b slave c:saddr1111 0010b saden 1111 1101b given1111 00x1b ri smod0=0 data byte ninth bit stop bit start bit rxd d8 d7 d6 d5 d4 d3 d2 d1 d0 ri smod0=1 fe smod0=1
41 t89c51rb2/rc2 4105d?8051?10/06 the saden byte is selected so that each slave may be addressed separately. for slave a, bit 0 (the lsb) is a don?t-care bit; for slaves b and c, bit 0 is a 1.to commu- nicate with slave a only, the master must send an address where bit 0 is clear (e. g. 1111 0000b ). for slave a, bit 1 is a 1; for slaves b and c, bit 1 is a don?t care bit. to communicate with slaves b and c, but not slave a, the master must send an address with bits 0 and 1 both set (e. g. 1111 0011b ). to communicate with slaves a, b and c, the master must send an address with bit 0 set, bit 1 clear, and bit 2 clear (e. g. 1111 0001b ). broadcast address a broadcast address is formed from the logical or of the saddr and saden registers with zeros defined as don?t-care bits, e. g. : saddr0101 0110b sade n1111 1100b broadcast =saddr or saden1111 111xb the use of don?t-care bits provides flexib ility in defining the br oadcast address, however in most applications, a broadcast address is ffh. the following is an example of using broadcast addresses: slave a:saddr1111 0001b saden 1111 1010b broadcast1111 1x 11b, slave b:saddr1111 0011b saden 1111 1001b broadcast1111 1x 11b, slave c:saddr=1111 0010b saden 1111 1101b broadcast1111 1111b for slaves a and b, bit 2 is a don?t care bit; for slave c, bit 2 is set. to communicate with all of the slaves, the master must send an address ffh. to communicate with slaves a and b, but not slave c, the master can send and address fbh. reset addresses on reset, the saddr and saden registers are initialized to 00h, i. e. the given and broadcast addresses are xxxx xxxxb (all don?t-care bits). this ensures that the serial port will reply to any address, and so, that it is backwards compatible with the 80c51 microcontrollers that do not support automatic address recognition.
42 t89c51rb2/rc2 4105d?8051?10/06 registers table 21. saden register saden - slave address mask register (b9h) reset value = 0000 0000b not bit addressable table 22. saddr register saddr - slave address register (a9h) reset value = 0000 0000b not bit addressable baud rate selection for uart for mode 1 and 3 the baud rate generator for transmit and re ceive clocks can be selected separately via the t2con and bdrcon registers. figure 19. baud rate selection 76543210 76543210 rclk / 16 rbck int_brg 0 1 timer1 0 1 0 1 timer2 int_brg timer1 timer2 timer_brg_rx rx clock / 16 0 1 timer_brg_tx tx clock tbck tclk
43 t89c51rb2/rc2 4105d?8051?10/06 table 23. baud rate selection table uart internal baud rate generator (brg) when the internal baud rate generator is used, the baud rates are determined by the brg overflow depending on the brl reload value, the value of spd bit (speed mode) in bdrcon register and the value of the smod1 bit in pcon register. figure 20. internal baud rate ? the baud rate for uart is token by formula: tclk (t2con) rclk (t2con) tbck (bdrcon) rbck (bdrcon) clock source uart tx clock source uart rx 0000timer 1timer 1 1000timer 2timer 1 0100timer 1timer 2 1100timer 2timer 2 x010int_brgtimer 1 x110int_brgtimer 2 0 x 0 1 timer 1 int_brg 1 x 0 1 timer 2 int_brg x x 1 1 int_brg int_brg brg 0 1 /6 brl /2 0 1 int_brg spd brr smod1 auto reload counter overflow clk periph baud_rate = 2 smod 1 x f clk periph 2 x 2 x 6(1-spd) x 16 x [256 - (brl)] (brl) = 256 - 2 smod 1 x f clk periph 2 x 2 x 6 (1-spd) x 16 x baud_rate
44 t89c51rb2/rc2 4105d?8051?10/06 table 24. scon register scon - serial control register (98h) reset value = 0000 0000b bit addressable 76543210 fe/sm0 sm1 sm2 ren tb8 rb8 ti ri bit number bit mnemonic description 7fe framing error bit (smod0=1 ) clear to reset the error state, not cleared by a valid stop bit. set by hardware when an invalid stop bit is detected. smod0 must be set to enable access to the fe bit. sm0 serial port mode bit 0 refer to sm1 for serial port mode selection. smod0 must be cleared to enable access to the sm0 bit. 6sm1 serial port mode bit 1 sm0 sm1 mode description baud rate 0 0 0 shift register f cpu periph /6 0 1 1 8-bit uart variable 1029-bit uartf cpu periph /32 or /16 1 1 3 9-bit uart variable 5sm2 serial port mode 2 bit / multiprocessor communication enable bit clear to disable multiprocessor communication feature. set to enable multiprocessor communication feature in mode 2 and 3, and eventually mode 1.this bit should be cleared in mode 0. 4ren reception enable bit clear to disable serial reception. set to enable serial reception. 3tb8 transmitter bit 8 / ninth bit to transmit in modes 2 and 3 clear to transmit a logic 0 in the 9th bit. set to transmit a logic 1 in the 9th bit. 2rb8 receiver bit 8 / ninth bit received in modes 2 and 3 cleared by hardware if 9th bit received is a logic 0. set by hardware if 9th bit received is a logic 1. in mode 1, if sm2 = 0, rb8 is the received stop bit. in mode 0 rb8 is not used. 1ti transmit interrupt flag clear to acknowledge interrupt. set by hardware at the end of the 8th bit time in mode 0 or at the beginning of the stop bit in the other modes. 0ri receive interrupt flag clear to acknowledge interrupt. set by hardware at the end of the 8th bit time in mode 0, see figure 17. and figure 18. in the other modes.
45 t89c51rb2/rc2 4105d?8051?10/06 table 25. example of computed value when x2=1, smod1=1, spd=1 table 26. example of computed value when x2=0, smod1=0, spd=0 the baud rate generator can be used for mode 1 or 3 (refer to figure 19.), but also for mode 0 for uart, thanks to the bit src located in bdrcon register (table 33.) uart registers table 27. saden register saden - slave address mask register for uart (b9h) reset value = 0000 0000b table 28. saddr register saddr - slave address register for uart (a9h) reset value = 0000 0000b baud rates f osc = 16. 384 mhz f osc = 24mhz brl error (%) brl error (%) 115200 247 1.23 243 0.16 57600 238 1.23 230 0.16 38400 229 1.23 217 0.16 28800 220 1.23 204 0.16 19200 203 0.63 178 0.16 9600 149 0.31 100 0.16 4800 43 1.23 - - baud rates f osc = 16. 384 mhz f osc = 24mhz brl error (%) brl error (%) 4800 247 1.23 243 0.16 2400 238 1.23 230 0.16 1200 220 1.23 202 3.55 600 185 0.16 152 0.16 76543210 76543210
46 t89c51rb2/rc2 4105d?8051?10/06 table 29. sbuf register sbuf - serial buffer register for uart (99h) reset value = xxxx xxxxb table 30. brl register brl - baud rate reload register for the internal baud rate generator, uart (9ah) reset value = 0000 0000b 76543210 76543210
47 t89c51rb2/rc2 4105d?8051?10/06 table 31. t2con register t2con - timer 2 control register (c8h) reset value = 0000 0000b bit addressable 76543210 tf2 exf2 rclk tclk exen2 tr2 c/t2# cp/rl2# bit number bit mnemonic description 7tf2 timer 2 overflow flag must be cleared by software. set by hardware on timer 2 overflow, if rclk = 0 and tclk = 0. 6exf2 timer 2 external flag set when a capture or a reload is caused by a negative transition on t2ex pin if exen2=1. when set, causes the cpu to vector to timer 2 interrupt routine when timer 2 interrupt is enabled. must be cleared by software. exf2 doesn?t cause an interrupt in up/down counter mode (dcen = 1) 5rclk receive clock bit for uart cleared to use timer 1 overflow as receive clock for serial port in mode 1 or 3. set to use timer 2 overflow as receive clock for serial port in mode 1 or 3. 4tclk transmit clock bit for uart cleared to use timer 1 overflow as transmit clock for serial port in mode 1 or 3. set to use timer 2 overflow as transmit clock for serial port in mode 1 or 3. 3exen2 timer 2 external enable bit cleared to ignore events on t2ex pin for timer 2 operation. set to cause a capture or reload when a negative transition on t2ex pin is detected, if timer 2 is not used to clock the serial port. 2tr2 timer 2 run control bit cleared to turn off timer 2. set to turn on timer 2. 1c/t2# timer/counter 2 select bit cleared for timer operation (input from internal clock system: f clk periph ). set for counter operation (input from t2 input pin, falling edge trigger). must be 0 for clock out mode. 0cp/rl2# timer 2 capture/reload bit if rclk=1 or tclk=1, cp/rl2# is ignored and timer is forced to auto-reload on timer 2 overflow. cleared to auto-reload on timer 2 overflows or negative transitions on t2ex pin if exen2=1. set to capture on negative transitions on t2ex pin if exen2=1.
48 t89c51rb2/rc2 4105d?8051?10/06 table 32. pcon register pcon - power control register (87h) reset value = 00x1 0000b not bit addressable power-off flag reset value will be 1 only after a power on (cold reset). a warm reset doesn?t affect the value of this bit. 76543210 smod1 smod0 - pof gf1 gf0 pd idl bit number bit mnemonic description 7smod1 serial port mode bit 1 for uart set to select double baud rate in mode 1, 2 or 3. 6smod0 serial port mode bit 0 for uart cleared to select sm0 bit in scon register. set to select fe bit in scon register. 5- reserved the value read from this bit is indeterminate. do not set this bit. 4pof power-off flag cleared to recognize next reset type. set by hardware when vcc rises from 0 to its nominal voltage. can also be set by software. 3gf1 general purpose flag cleared by user for general purpose usage. set by user for general purpose usage. 2gf0 general purpose flag cleared by user for general purpose usage. set by user for general purpose usage. 1pd power-down mode bit cleared by hardware when reset occurs. set to enter power-down mode. 0idl idle mode bit cleared by hardware when interrupt or reset occurs. set to enter idle mode.
49 t89c51rb2/rc2 4105d?8051?10/06 table 33. bdrcon register bdrcon - baud rate control register (9bh) reset value = xxx0 0000b not bit addressablef 76543210 - - - brr tbck rbck spd src bit number bit mnemonic description 7- reserved the value read from this bit is indeterminate. do not set this bit 6- reserved the value read from this bit is indeterminate. do not set this bit 5- reserved the value read from this bit is indeterminate. do not set this bit. 4brr baud rate run control bit cleared to stop the internal baud rate generator. set to start the internal baud rate generator. 3tbck transmission baud rate generator selection bit for uart cleared to select timer 1 or timer 2 for the baud rate generator. set to select internal baud rate generator. 2rbck reception baud rate generator selection bit for uart cleared to select timer 1 or timer 2 for the baud rate generator. set to select internal baud rate generator. 1 spd baud rate speed control bit for uart cleared to select the slow baud rate generator. set to select the fast baud rate generator. 0src baud rate source select bit in mode 0 for uart cleared to select f osc /12 as the baud rate generator (f clk periph /6 in x2 mode). set to select the internal baud rate generator for uarts in mode 0.
50 t89c51rb2/rc2 4105d?8051?10/06 interrupt system the t89c51rb2/rc2 has a total of 10 interrupt vectors: two external interrupts (int0 and int1 ), three timer interrupts (timers 0, 1 and 2), the serial port interrupt, spi inter- rupt, keyboard interrupt and the pca global interrupt. these interrupts are shown in figure 21. figure 21. interrupt control system each of the interrupt sources can be individually enabled or disabled by setting or clear- ing a bit in the interrupt enable register (table 38 and table 36). this register also contains a global disable bit, which must be cleared to disable all interrupts at once. each interrupt source can also be individually programmed to one out of four priority lev- els by setting or clearing a bit in the interrupt priority register (table 39) and in the interrupt priority high register (table 37 and table 38) shows the bit values and priority levels associated with each combination. ie1 0 3 high priority interrupt interrupt polling sequence, decreasing fro m high to low priority low priority interrupt global disable individual enable exf2 tf2 ti ri tf0 int0 int1 tf1 iph, ipl ie0 0 3 0 3 0 3 0 3 0 3 0 3 pca it kbd it spi it 0 3 0 3
51 t89c51rb2/rc2 4105d?8051?10/06 registers the pca interrupt vector is located at address 0033h, the spi interrupt vector is located at address 0043h and keyboard interrupt vector is located at address 004bh. all other vectors addresses are the same as standard c52 devices. table 34. priority level bit values a low-priority interrupt can be interrupted by a high priority interrupt, but not by another low-priority interrupt. a high-priority interrupt can?t be interrupted by any other interrupt source. if two interrupt requests of different priority levels are received simultaneously, the request of higher priority level is serviced. if interrupt requests of the same priority level are received simultaneously, an internal polling sequence determines which request is serviced. thus within each priority level there is a second priority structure determined by the polling sequence. iph. x ipl. x interrupt level priority 0 0 0 (lowest) 011 102 113 (highest)
52 t89c51rb2/rc2 4105d?8051?10/06 table 35. ieo register ie0 - interrupt enable register (a8h) reset value = 0000 0000b bit addressable 76543210 ea ec et2 es et1 ex1 et0 ex0 bit number bit mnemonic description 7ea enable all interrupt bit cleared to disable all interrupts. set to enable all interrupts. 6ec pca interrupt enable bit cleared to disable. set to enable. 5et2 timer 2 overflow interrupt enable bit cleared to disable timer 2 overflow interrupt. set to enable timer 2 overflow interrupt. 4es serial port enable bit cleared to disable serial port interrupt. set to enable serial port interrupt. 3et1 timer 1 overflow interrupt enable bit cleared to disable timer 1 overflow interrupt. set to enable timer 1 overflow interrupt. 2 ex1 external interrupt 1 enable bit cleared to disable external interrupt 1. set to enable external interrupt 1. 1et0 timer 0 overflow interrupt enable bit cleared to disable timer 0 overflow interrupt. set to enable timer 0 overflow interrupt. 0 ex0 external interrupt 0 enable bit cleared to disable external interrupt 0. set to enable external interrupt 0.
53 t89c51rb2/rc2 4105d?8051?10/06 table 36. ipl0 register ipl0 - interrupt priority register (b8h) reset value = x000 0000b bit addressable 76543210 - ppcl pt2l psl pt1l px1l pt0l px0l bit number bit mnemonic description 7- reserved the value read from this bit is indeterminate. do not set this bit. 6 ppcl pca interrupt priority bit refer to ppch for priority level. 5pt2l timer 2 overflow interrupt priority bit refer to pt2h for priority level. 4 psl serial port priority bit refer to psh for priority level. 3pt1l timer 1 overflow interrupt priority bit refer to pt1h for priority level. 2px1l external interrupt 1 priority bit refer to px1h for priority level. 1pt0l timer 0 overflow interrupt priority bit refer to pt0h for priority level. 0px0l external interrupt 0 priority bit refer to px0h for priority level.
54 t89c51rb2/rc2 4105d?8051?10/06 table 37. iph0 register iph0 - interrupt priority high register (b7h) reset value = x000 0000b not bit addressable 76543210 - ppch pt2h psh pt1h px1h pt0h px0h bit number bit mnemonic description 7- reserved the value read from this bit is indeterminate. do not set this bit. 6 ppch pca interrupt priority high bit. ppch ppcl priority level 00lowest 01 10 1 1 highest 5pt2h timer 2 overflow interrupt priority high bit pt2h pt2l priority level 00lowest 01 10 1 1 highest 4 psh serial port priority high bit psh psl priority level 0 0lowest 0 1 1 0 1 1highest 3pt1h timer 1 overflow interrupt priority high bit pt1h pt1l priority level 00 lowest 01 10 1 1 highest 2 px1h external interrupt 1 priority high bit px1h px1l priority level 00lowest 01 10 1 1 highest 1pt0h timer 0 overflow interrupt priority high bit pt0h pt0l priority level 00lowest 0 1 10 1 1 highest 0 px0h external interrupt 0 priority high bit px0h px0l priority level 0 0 lowest 01 10 1 1 highest
55 t89c51rb2/rc2 4105d?8051?10/06 table 38. ie1 register ie1 - interrupt enable register (b1h) reset value = xxxx x000b bit addressable 76543210 -----spi-kbd bit number bit mnemonic description 7- reserved 6- reserved 5- reserved 4- reserved 3- reserved 2spi spi interrupt enable bit cleared to disable spi interrupt. set to enable spi interrupt. 1- reserved 0 kbd keyboard interrupt enable bit cleared to disable keyboard interrupt. set to enable keyboard interrupt.
56 t89c51rb2/rc2 4105d?8051?10/06 table 39. ipl1 register ipl1 - interrupt priority register (b2h) reset value = xxxx x000b bit addressable 76543210 - - - - - spil - kbdl bit number bit mnemonic description 7- reserved the value read from this bit is indeterminate. do not set this bit. 6- reserved the value read from this bit is indeterminate. do not set this bit. 5- reserved the value read from this bit is indeterminate. do not set this bit. 4- reserved the value read from this bit is indeterminate. do not set this bit. 3- reserved the value read from this bit is indeterminate. do not set this bit. 2 spil spi interrupt priority bit refer to spih for priority level. 1- reserved the value read from this bit is indeterminate. do not set this bit. 0 kbdl keyboard interrupt priority bit refer to kbdh for priority level.
57 t89c51rb2/rc2 4105d?8051?10/06 table 40. iph1 register iph1 - interrupt priority high register (b3h) reset value = xxxx x000b not bit addressable 76543210 - - - - - spih - kbdh bit number bit mnemonic description 7- reserved the value read from this bit is indeterminate. do not set this bit. 6- reserved the value read from this bit is indeterminate. do not set this bit. 5- reserved the value read from this bit is indeterminate. do not set this bit. 4- reserved the value read from this bit is indeterminate. do not set this bit. 3- reserved the value read from this bit is indeterminate. do not set this bit. 2spih spi interrupt priority high bit spih spil priority level 0 0 lowest 0 1 1 0 1 1 highest 1- reserved the value read from this bit is indeterminate. do not set this bit. 0 kbdh keyboard interrupt priority high bit kb dh kbdl priority level 00 lowest 0 1 10 1 1 highest
58 t89c51rb2/rc2 4105d?8051?10/06 interrupt sources and vector addresses table 41. interrupt sources and vector addresses number polling priority interrupt source interrupt request vector address 0 0 reset 0000h 1 1 int0 ie0 0003h 2 2 timer 0 tf0 000bh 3 3 int1 ie1 0013h 4 4 timer 1 if1 001bh 5 6 uart ri+ti 0023h 6 7 timer 2 tf2+exf2 002bh 7 5 pca cf + ccfn (n = 0-4) 0033h 8 8 keyboard kbdit 003bh 9 9 spi spiit 004bh
59 t89c51rb2/rc2 4105d?8051?10/06 keyboard interface the t89c51rb2/rc2 implements a keyboard interface allowing the connection of a 8 x n matrix keyboard. it is based on 8 inputs with programmable interrupt capability on both high or low level. these inputs are available as alternate function of p1 and allow to exit from idle and power down modes. the keyboard interface interfaces with the c51 core through 3 special function registers: kbls, the keyboard level selection register (table 44), kbe, the keyboard interrupt enable register (table 43), and kbf, the keyboard flag register (table 42). interrupt the keyboard inputs are considered as 8 independent interrupt sources sharing the same interrupt vector. an interrupt enable bit (kbd in ie1) allows global enable or dis- able of the keyboard interrupt (see figure 22). as detailed in figure 23 each keyboard input has the capability to detect a programmable level according to kbls. x bit value. level detection is then reported in interrupt flags kbf. x that can be masked by software using kbe. x bits. this structure allow keyboard arrangement from 1 by n to 8 by n matrix and allow usage of p1 inputs for other purpose. figure 22. keyboard interface block diagram figure 23. keyboard input circuitry power reduction mode p1 inputs allow exit from idle and power down modes as detailed in section ?power- down mode?, page 76. p 1:x kbe. x kbf. x kbls. x 0 1 vcc internal pullup p1.0 keyboard interface interrupt request kbd ie1 input circuitry p1.1 input circuitry p1.2 input circuitry p1.3 input circuitry p1.4 input circuitry p1.5 input circuitry p1.6 input circuitry p1.7 input circuitry kbdit
60 t89c51rb2/rc2 4105d?8051?10/06 registers table 42. kbf register kbf - keyboard flag register (9eh) reset value= 0000 0000b 76543210 kbf7 kbf6 kbf5 kbf4 kbf3 kbf2 kbf1 kbf0 bit number bit mnemonic description 7kbf7 keyboard line 7 flag set by hardware when the port line 7 detects a programmed level. it generates a keyboard interrupt request if the kbkbie. 7 bit in kbie register is set. must be cleared by software. 6kbf6 keyboard line 6 flag set by hardware when the port line 6 detects a programmed level. it generates a keyboard interrupt request if the kbie. 6 bit in kbie register is set. must be cleared by software. 5kbf5 keyboard line 5 flag set by hardware when the port line 5 detects a programmed level. it generates a keyboard interrupt request if the kbie. 5 bit in kbie register is set. must be cleared by software. 4kbf4 keyboard line 4 flag set by hardware when the port line 4 detects a programmed level. it generates a keyboard interrupt request if the kbie. 4 bit in kbie register is set. must be cleared by software. 3kbf3 keyboard line 3 flag set by hardware when the port line 3 detects a programmed level. it generates a keyboard interrupt request if the kbie. 3 bit in kbie register is set. must be cleared by software. 2kbf2 keyboard line 2 flag set by hardware when the port line 2 detects a programmed level. it generates a keyboard interrupt request if the kbie. 2 bit in kbie register is set. must be cleared by software. 1kbf1 keyboard line 1 flag set by hardware when the port line 1 detects a programmed level. it generates a keyboard interrupt request if the kbie. 1 bit in kbie register is set. must be cleared by software. 0kbf0 keyboard line 0 flag set by hardware when the port line 0 detects a programmed level. it generates a keyboard interrupt request if the kbie. 0 bit in kbie register is set. must be cleared by software.
61 t89c51rb2/rc2 4105d?8051?10/06 table 43. kbe register kbe-keyboard i nput enable register (9dh) reset value= 0000 0000b 76543210 kbe7 kbe6 kbe5 kbe4 kbe3 kbe2 kbe1 kbe0 bit number bit mnemonic description 7 kbe7 keyboard line 7 enable bit cleared to enable standard i/o pin. set to enable kbf. 7 bit in kbf register to generate an interrupt request. 6 kbe6 keyboard line 6 enable bit cleared to enable standard i/o pin. set to enable kbf. 6 bit in kbf register to generate an interrupt request. 5 kbe5 keyboard line 5 enable bit cleared to enable standard i/o pin. set to enable kbf. 5 bit in kbf register to generate an interrupt request. 4 kbe4 keyboard line 4 enable bit cleared to enable standard i/o pin. set to enable kbf. 4 bit in kbf register to generate an interrupt request. 3 kbe3 keyboard line 3 enable bit cleared to enable standard i/o pin. set to enable kbf. 3 bit in kbf register to generate an interrupt request. 2 kbe2 keyboard line 2 enable bit cleared to enable standard i/o pin. set to enable kbf. 2 bit in kbf register to generate an interrupt request. 1 kbe1 keyboard line 1 enable bit cleared to enable standard i/o pin. set to enable kbf. 1 bit in kbf register to generate an interrupt request. 0 kbe0 keyboard line 0 enable bit cleared to enable standard i/o pin. set to enable kbf. 0 bit in kbf register to generate an interrupt request.
62 t89c51rb2/rc2 4105d?8051?10/06 table 44. kbls register kbls-keyboard level selector register (9ch) reset value= 0000 0000b 76543210 kbls7 kbls6 kbls5 kbls4 kbls3 kbls2 kbls1 kbls0 bit number bit mnemonic description 7kbls7 keyboard line 7 level selection bit cleared to enable a low level detection on port line 7. set to enable a high level detection on port line 7. 6kbls6 keyboard line 6 level selection bit cleared to enable a low level detection on port line 6. set to enable a high level detection on port line 6. 5kbls5 keyboard line 5 level selection bit cleared to enable a low level detection on port line 5. set to enable a high level detection on port line 5. 4kbls4 keyboard line 4 level selection bit cleared to enable a low level detection on port line 4. set to enable a high level detection on port line 4. 3kbls3 keyboard line 3 level selection bit cleared to enable a low level detection on port line 3. set to enable a high level detection on port line 3. 2kbls2 keyboard line 2 level selection bit cleared to enable a low level detection on port line 2. set to enable a high level detection on port line 2. 1kbls1 keyboard line 1 level selection bit cleared to enable a low level detection on port line 1. set to enable a high level detection on port line 1. 0kbls0 keyboard line 0 level selection bit cleared to enable a low level detection on port line 0. set to enable a high level detection on port line 0.
63 t89c51rb2/rc2 4105d?8051?10/06 serial port interface (spi) the serial peripheral interface module (spi) allows full-duplex, synchronous, serial communication between the mcu and peripheral devices, including other mcus. features features of the spi module include the following: ? full-duplex, three-wire synchronous transfers ? master or slave operation ? eight programmable master clock rates ? serial clock with programmable polarity and phase ? master mode fault error flag with mcu interrupt capability ? write collision flag protection signal description figure 20 shows a typical spi bus configuration using one master controller and many slave peripherals. the bus is made of three wires connecting all the devices: figure 24. spi master/slaves interconnection the master device selects the individual slave devices by using four pins of a parallel port to control the four ss pins of the slave devices. master output slave input (mosi) this 1-bit signal is directly connected between the master device and a slave device. the mosi line is used to transfer data in series from the master to the slave. therefore, it is an output signal from the master, and an input signal to a slave. a byte (8-bit word) is transmitted most significant bit (msb) first, least significant bit (lsb) last. master input slave output (miso) this 1-bit signal is directly connected between the slave device and a master device. the miso line is used to transfer data in series from the slave to the master. therefore, it is an output signal from the slave, and an input signal to the master. a byte (8-bit word) is transmitted most significant bit (msb) first, least significant bit (lsb) last. spi serial clock (sck) this signal is used to synchronize the data movement both in and out the devices through their mosi and miso lines. it is driven by the master for eight clock cycles which allows to exchange one byte on the serial lines. slave select (ss ) each slave peripheral is selected by one slave select pin (ss ). this signal must stay low for any message for a slave. it is obvious that only one master (ss high level) can slave 1 miso mosi sck ss miso mosi sck ss port 0 1 2 3 slave 3 miso mosi sck ss slave 4 miso mosi sck ss slave 2 miso mosi sck ss vdd master
64 t89c51rb2/rc2 4105d?8051?10/06 drive the network. the master may select each slave device by software through port pins (figure 20). to prevent bus conflicts on the miso line, only one slave should be selected at a time by the master for a transmission. in a master configuration, the ss line can be used in conjunction with the modf flag in the spi status register (spsta) to prevent multiple masters from driving mosi and sck (see error conditions). a high level on the ss pin puts the miso line of a slave spi in a high-impedance state. the ss pin could be used as a general purpose if the following conditions are met: ? the device is configured as a master and the ssdis control bit in spcon is set. this kind of configuration can be found when only one master is driving the network and there is no way that the ss pin could be pulled low. therefore, the modf flag in the spsta will never be set (1) . ? the device is configured as a slave with cpha and ssdis control bits set (2) this kind of configuration can happen when the system comprises one master and one slave only. therefore, the device should always be selected and there is no reason that the master uses the ss pin to select the communicating slave device. note: 1. clearing ssdis control bit does not clear modf. 2. special care should be taken not to set ssdis control bit when cpha =?0? because in this mode, the ss is used to start the transmission. baud rate in master mode, the baud rate can be selected from a baud rate generator which is con- trolled by three bits in the spcon register: spr2, spr1 and spr0.the master clock is chosen from one of seven clock rates resulting from the division of the internal clock by 2, 4, 8, 16, 32, 64 or 128. table 45 gives the different clock rates selected by spr2:spr1:spr0: table 45. spi master baud rate selection spr2 spr1 spr0 clock rate baud rate divisor (bd) 000 f clk periph /2 2 001 f clk periph /4 4 010 f clk periph / 8 8 011 f clk periph /16 16 100 f clk periph /32 32 101 f clk periph /64 64 110 f clk periph /128 128
65 t89c51rb2/rc2 4105d?8051?10/06 functional description figure 25 shows a detailed structure of the spi module. figure 25. spi module block diagram operating modes the serial peripheral interface can be configured as one of the two modes: master mode or slave mode. the configuration and initialization of the spi module is made through one register: ? the serial peripheral control register (spcon) once the spi is configured, the data exchange is made using: ?spcon ? the serial peripheral status register (spsta) ? the serial peripheral data register (spdat) during an spi transmission, data is simultaneously transmitted (shifted out serially) and received (shifted in serially). a serial clock line (sck) synchronizes shifting and sam- pling on the two serial data lines (mosi and miso). a slave select line (ss ) allows individual selection of a slave spi device; slave devices that are not selected do not interfere with spi bus activities. when the master device transmits data to the slave device via the mosi line, the slave device responds by sending data to the master device via the miso line. this implies full-duplex transmission with both data out and data in synchronized with the same clock (figure 26). shift register 0 1 2 3 4 5 6 7 internal bus pin control logic miso mosi sck m s clock logic clock divider clock select /2 /4 /64 /128 spi interrupt request 8-bit bus 1-bit signa l ss fclk periph /32 /8 /16 receive data register spdat spi control spsta cpha spr0 spr1 cpol mstr ssdis spen spr2 spcon wcol modf spif - ----
66 t89c51rb2/rc2 4105d?8051?10/06 figure 26. full-duplex master-slave interconnection master mode the spi operates in master mode when the master bit, mstr (1) , in the spcon register is set. only one master spi device can initiate transmissions. software begins the trans- mission from a master spi module by writing to the serial peripheral data register (spdat). if the shift register is empty, the byte is immediately transferred to the shift register. the byte begins shifting out on mosi pin under the control of the serial clock, sck. simultaneously, another byte shifts in from the slave on the master?s miso pin. the transmission ends when the serial peripheral transfer data flag, spif, in spsta becomes set. at the same time that spif becomes set, the received byte from the slave is transferred to the receive data register in spdat. software clears spif by reading the serial peripheral status register ( spsta) with the spif bit set, and then reading the spdat. slave mode the spi operates in slave mode when the master bit, mstr (2) , in the spcon register is cleared. before a data transmission occurs, the slave select pin, ss , of the slave device must be set to?0?. ss must remain low until the transmission is complete. in a slave spi module, data enters the shift register under the control of the sck from the master spi module. after a byte enters the shift register, it is immediately transferred to the receive data register in spdat, and the spif bit is set. to prevent an overflow condition, slave software must then read the spdat before another byte enters the shift register (3) . a slave spi must complete the write to the spdat (shift register) at least one bus cycle before the master spi starts a transmission. if the write to the data register is late, the spi transmits the data already in the shift register from the previous transmission. transmission formats software can select any of four combinations of serial clock (sck) phase and polarity using two bits in the spcon: the clock polarity (cpol (4) ) and the clock phase (cpha 4 ). cpol defines the default sck line level in idle state. it has no significant effect on the transmission format. cpha defines the edges on which the input data are sampled and the edges on which the output data are shifted (figure 22 and figure 23). the clock phase and polarity should be identical for the master spi device and the com- municating slave device. 8-bit shift register spi clock generator master mcu 8-bit shift register miso miso mosi mosi sck sck vss vdd ss ss slave mcu 1. the spi module should be configured as a master before it is enabled (spen set). also the master spi should be configured before the slave spi. 2. the spi module should be configured as a slave before it is enabled (spen set). 3. the maximum frequency of the sck for an spi configured as a slave is the bus clock speed. 4. before writing to the cpol and cpha bits, the spi should be disabled (spen =?0?).
67 t89c51rb2/rc2 4105d?8051?10/06 figure 27. data transmission format (cpha = 0) figure 28. data transmission format (cpha = 1) figure 29. cpha/ss timing as shown in figure 28, the first sck edge is the msb capture strobe. therefore the slave must begin driving its data before the first sck edge, and a falling edge on the ss pin is used to start the transmission. the ss pin must be toggled high and then low between each byte transmitted (figure 25). figure 29 shows an spi transmission in which cpha is?1?. in this case, the master begins driving its mosi pin on the first sck edge. therefore the slave uses the first sck edge as a start transmission signal. the ss pin can remain low between transmis- sions (figure 24). this format may be preferable in systems having only one master and only one slave driving the miso data line. msb bit6 bit5 bit4 bit3 bit2 bit1 lsb bit6 bit5 bit4 bit3 bit2 bit1 msb lsb 13 2 45678 capture point ss (to slave) miso (from slave) mosi (from master) sck (cpol = 1) sck (cpol = 0) spen (internal) sck cycle number msb bit6 bit5 bit4 bit3 bit2 bit1 lsb bit6 bit5 bit4 bit3 bit2 bit1 msb lsb 13 2 45678 capture point ss (to slave) miso (from slave) mosi (from master) sck (cpol = 1) sck (cpol = 0) spen (internal) sck cycle number byte 1 byte 2 byte 3 miso/mosi master ss slave ss (cpha = 1) slave ss (cpha = 0)
68 t89c51rb2/rc2 4105d?8051?10/06 error conditions the following flags in the spsta signal spi error c onditions: mode fault (modf) mode fault error in master mode spi indicates that the level on the slave select (ss ) pin is inconsistent with the actual mode of the device. modf is set to warn that there may have a multi-master conflict for system control. in this case, the spi system is affected in the following ways: ? an spi receiver/error cpu interrupt request is generated, ? the spen bit in spcon is cleared. this disable the spi, ? the mstr bit in spcon is cleared when ss disable (ssdis) bit in the spcon register is cleared, the modf flag is set when the ss signal becomes?0?. however, as stated before, for a system with one master, if the ss pin of the master device is pulled low, there is no way that another master attempt to drive the network. in this case, to prevent the modf flag from being set, software can set the ssdis bit in the spcon register and therefore making the ss pin as a general purpose i/o pin. clearing the modf bit is accomplished by a read of spsta register with modf bit set, followed by a write to the spcon register. spen control bit may be restored to its orig- inal set state after the modf bit has been cleared. write collision (wcol) a write collision (wcol) flag in the spsta is set when a write to the spdat register is done during a transmit sequence. wcol does not cause an interruption, and the transfer continues uninterrupted. clearing the wcol bit is done through a software sequence of an access to spsta and an access to spdat. overrun condition an overrun condition occurs when the master device tries to send several data bytes and the slave devise has not cleared the spif bit issuing from the previous data byte transmitted. in this case, the receiver buffer contains the byte sent after the spif bit was last cleared. a read of the spdat returns this byte. all others bytes are lost. this condition is not detected by the spi peripheral. interrupts two spi status flags can generate a cpu interrupt requests: table 46. spi interrupts serial peripheral data transfer flag, spif: this bit is set by hardware when a transfer has been completed. spif bit generates transmitter cpu interrupt requests. mode fault flag, modf: this bit becomes set to indicate that the level on the ss is inconsistent with the mode of the spi. modf with ssdis reset, generates receiver/error cpu interrupt requests. figure 30 gives a logical view of the above statements. flag request spif (sp data transfer) spi transmitter interrupt request modf (mode fault) spi receiver/error interrupt request (if ssdis =?0?)
69 t89c51rb2/rc2 4105d?8051?10/06 figure 30. spi interrupt requests generation registers there are three registers in the module that provide control, status and data storage functions. these registers are describes in the following paragraphs. serial peripheral control register (spcon) ? the serial peripheral control register does the following: ? selects one of the master clock rates, ? configure the spi module as master or slave, ? selects serial clock polarity and phase, ? enables the spi module, ? frees the ss pin for a general purpose table 47 describes this register and explains the use of each bit. table 47. spcon register spcon - serial peripheral control register (0c3h) ssdis modf cpu interrupt request spi receiver/error cpu interrupt request spi transmitter spi cpu interrupt request spif 76543210 spr2 spen ssdis mstr cpol cpha spr1 spr0 bit number bit mnemonic description 7 spr2 serial peripheral rate 2 bit with spr1 and spr0 define the clock rate. 6 spen serial peripheral enable cleared to disable the spi interface. set to enable the spi interface. 5ssdis ss disable cleared to enable ss# in both master and slave modes. set to disable ss# in both master and slave modes. in slave mode, this bit has no effect if cpha =?0?. 5mstr serial peripheral master cleared to configure the spi as a slave. set to configure the spi as a master. 4cpol clock polarity cleared to have the sck set to?0? in idle state. set to have the sck set to?1? in idle low. 3cpha clock phase cleared to have the data sampled when the sck leaves the idle state (see cpol). set to have the data sampled when the sck returns to idle state (see cpol).
70 t89c51rb2/rc2 4105d?8051?10/06 reset value= 0001 0100b not bit addressable serial peripheral status register (spsta) the serial peripheral status register contains flags to signal the following conditions: ? data transfer complete ? write collision ? inconsistent logic level on ss pin (mode fault error) table 48 describes the spsta register and explains the use of every bit in the register. table 48. spsta register spsta - serial peri pheral status and control register (0c4h) 2 spr1 spr2 spr1 spr0 serial peripheral rate 00 0f clk periph /2 00 1 f clk periph /4 01 0 f clk periph /8 01 1f clk periph /16 10 0f clk periph /32 10 1f clk periph /64 11 0f clk periph /128 1 1 1 invalid 1 spr0 bit number bit mnemonic description 76543210 spif wcol - modf - - - - bit number bit mnemonic description 7 spif serial peripheral data transfer flag cleared by hardware to indicate data transfer is in progress or has been approved by a clearing sequence. set by hardware to indicate that the data transfer has been completed. 6wcol write collision flag cleared by hardware to indicate that no collision has occurred or has been approved by a clearing sequence. set by hardware to indicate that a collision has been detected. 5- reserved the value read from this bit is indeterminate. do not set this bit. 4modf mode fault cleared by hardware to indicate that the ss pin is at appropriate logic level, or has been approved by a clearing sequence. set by hardware to indicate that the ss pin is at inappropriate logic level. 3- reserved the value read from this bit is indeterminate. do not set this bit 2- reserved the value read from this bit is indeterminate. do not set this bit
71 t89c51rb2/rc2 4105d?8051?10/06 reset value= 00x0 xxxxb not bit addressable serial peripheral data register (spdat) the serial peripheral data register (table 49) is a read/write buffer for the receive data register. a write to spdat places data directly into the shift register. no transmit buffer is available in this model. a read of the spdat returns the value located in the receive buffer and not the content of the shift register. table 49. spdat register spdat - serial peripheral data register (0c5h) reset value= indeterminate r7:r0: receive data bits spcon, spsta and spdat registers may be read and written at any time while there is no on-going exchange. however, special care should be taken when writing to them while a transmission is on-going: ? do not change spr2, spr1 and spr0 ? do not change cpha and cpol ? do not change mstr ? clearing spen would immediately disable the peri pheral ? writing to the spdat will cause an overflow 1- reserved the value read from this bit is indeterminate. do not set this bit. 0- reserved the value read from this bit is indeterminate. do not set this bit. bit number bit mnemonic description 76543210 r7 r6 r5 r4 r3 r2 r1 r0
72 t89c51rb2/rc2 4105d?8051?10/06 hardware watchdog timer the wdt is intended as a recovery method in situations where the cpu may be sub- jected to software upset. the wdt consists of a 14-bit counter and the watchdog timer reset (wdtrst) sfr. the wdt is by default disabled from exiting reset. to enable the wdt, user must write 01eh and 0e1h in sequence to the wdtrst, sfr location 0a6h. when wdt is enabled, it will increment every machine cycle while the oscillator is running and there is no way to disable the wdt except through reset (either hardware reset or wdt overflow reset). when wdt overflows, it will drive an output r eset high pulse at the rst-pin. using the wdt to enable the wdt, user must write 01eh and 0e1h in sequence to the wdtrst, sfr location 0a6h. when wdt is enabled, the user needs to service it by writing to 01eh and 0e1h to wdtrst to avoid wdt overfl ow. the 14-bit counter overflows when it reaches 16383 (3fffh) and this will reset t he device. when wdt is enabled, it will increment every machine cycle while the oscillator is running. this means the user must reset the wdt at least every 16383 machine cycle. to reset the wdt the user must write 01eh and 0e1h to wdtrst. wdtrst is a write only register. the wdt counter cannot be read or written. when wdt overflows, it will generate an output reset pulse at the rst-pin. the reset pulse duration is 96 x t clk periph , where t clk periph = 1/f clk periph . to make the best use of the wdt, it should be serviced in those sections of code that will periodically be executed within the time required to prevent a wdt reset. to have a more powerful wdt, a 2 7 counter has been added to extend the time-out capability, ranking from 16ms to 2s @ f osca = 12mhz. to manage this feature, refer to wdtprg register description, table 50. table 50. wdtrst register wdtrst - watchdog reset register (0a6h) reset value = xxxx xxxxb write only, this sfr is used to reset/enable the wdt by writing 01eh then 0e1h in sequence. 76543210 --------
73 t89c51rb2/rc2 4105d?8051?10/06 table 51. wdtprg register wdtprg - watchdog timer out register (0a7h) reset value xxxx x000 wdt during power down and idle in power down mode the oscillator stops, which means the wdt also stops. while in power down mode the user does not need to service the wdt. there are 2 methods of exiting power down mode: by a hardware reset or via a level activated external inter- rupt which is enabled prior to entering power down mode. when power down is exited with hardware reset, servicing the wdt should occur as it normally should whenever the t89c51rb2/rc2 is reset. exiting power down with an interrupt is significantly different. the interrupt is held low long enough for the oscillator to stabilize. when the interrupt is brought high, the interrupt is serviced. to prevent the wdt from resetting the device while the interrupt pin is held low, the wdt is not started until the interrupt is pulled high. it is suggested that the wdt be reset during the interrupt service routine. to ensure that the wdt does not overflow within a few states of exiting of powerdown, it is better to reset the wdt just before entering powerdown. in the idle mode, the oscillator cont inues to run. to prevent the wdt from resetting the t89c51rb2/rc2 while in idle mode, the user should always set up a timer that will peri- odically exit idle, service the wdt, and re-enter idle mode. 76543210 - - - - - s2 s1 s0 bit number bit mnemonic description 7- reserved the value read from this bit is undetermined. do not try to set this bit. 6- 5- 4- 3- 2s2 wdt time-out select bit 2 1s1 wdt time-out select bit 1 0s0 wdt time-out select bit 0 s2 s1 s0 selected time-out 00 0 (2 14 - 1) machine cycles, 16. 3 ms @ f osca =12 mhz 00 1 (2 15 - 1) machine cycles, 32.7 ms @ f osca =12 mhz 01 0 (2 16 - 1) machine cycles, 65. 5 ms @ f osca =12 mhz 01 1 (2 17 - 1) machine cycles, 131 ms @ f osca =12 mhz 10 0 (2 18 - 1) machine cycles, 262 ms @ f osca =12 mhz 10 1 (2 19 - 1) machine cycles, 542 ms @ f osca =12 mhz 11 0 (2 20 - 1) machine cycles, 1.05 s @ f osca =12 mhz 11 1 (2 21 - 1) machine cycles, 2.09 s @ f osca =12 mhz
74 t89c51rb2/rc2 4105d?8051?10/06 once ? mode (on chip emulation) the once mode facilitates testing and debugging of systems using t89c51rb2/rc2 without removing the circuit from the board. the once mode is invoked by driving cer- tain pins of the t89c51rb2/rc2; the following sequence must be exercised: ? pull ale low while the device is in reset (rst high) and psen is high. ? hold ale low as rst is deactivated. while the t89c51rb2/rc2 is in once mode, an emulator or test cpu can be used to drive the circuit. the following table shows the status of the port pins during once mode. normal operation is restored when normal reset is applied. table 52. external pin status during once mode "once" is a registered trademark of intel corporation. ale psen port 0 port 1 port 2 port 3 xtal1/2 weak pull-up weak pull-up float weak pull-up weak pull-up weak pull-up active
75 t89c51rb2/rc2 4105d?8051?10/06 power management two power reduction modes are implemented in the t89c51rb2/rc2: the idle mode and the power-down mode. these modes are detailed in the following sections. in addi- tion to these power reduction modes, the clocks of the core and peripherals can be dynamically divided by 2 using the x2 mode detailed in section ?clock?. reset a reset is required after applying power at turn-on. to achieve a valid reset, the reset signal must be maintained for at least 2 ma chine cycles (24 osc illator clock periods) while the oscillator is running and stabilized and vcc established within the specified operating ranges. a device reset initializes the t89c51rb2/rc2 and vectors the cpu to address 0000h. rst input has a pull-down resistor allowing power-on reset by simply connecting an external capacitor to v dd as shown in figure 31. resistor value and input characteristics are discussed in the section ?dc characteristics? of the t89c51rb2/rc2 datasheet. the status of the port pins during reset is detailed in table 53. figure 31. reset circuitry and power-on reset table 53. pin conditions in special operating modes reset recommendation to prevent flash corruption a bad reset sequence will lead to bad microcontroller initialization and system registers like sfr?s, program counter, etc. will not be correctly initialized. a bad initialization may lead to unpredictable behaviour of the c51 microcontroller. an example of this situation may occur in an instance where the bit enboot in auxr1 register is initialized from the hardware bit bljb upon reset. since this bit allows map- ping of the bootloader in the code area, a reset failure can be critical. if one wants the enboot cleared inorder to unmap the boot from the code area (yet due to a bad reset) the bit enboot in sfr?s may be set. if the value of program counter is accidently in the range of the boot memory addresses then a flash access (write or erase) may corrupt the flash on-chip memory . it is recommended to use an external reset circuitry featuring power supply monitoring to prevent system malfunction during periods of insufficient power supply voltage(power supply failure, power supply switched off). mode port 0 port 1 port 2 port 3 port 4 ale psen# reset floating high high high high high high idle data data data data data high high power- down data data data data data low low rst r rst vss to cpu core and peripherals rst vdd + b. power-on rese t a. rst input circuitry
76 t89c51rb2/rc2 4105d?8051?10/06 idle mode an instruction that sets pcon. 0 indicates that it is the last instruction to be executed before going into idle mode. in idle mode, the internal clock signal is gated off to the cpu, but not to the interrupt, timer, and serial port functions. the cpu status is pre- served in its entirety: the stack pointer, program counter, program status word, accumulator and all other registers maintain their data during idle. the port pins hold the logical states they had at the time idle was activated. ale and psen hold at logic high level. there are two ways to terminate the idle mode. activation of any enabled interrupt will cause pcon. 0 to be cleared by hardware, terminating the idle mode. the interrupt will be serviced, and following reti the next instruction to be executed will be the one fol- lowing the instruction that put the device into idle. the flag bits gf0 and gf1 can be used to give an indication if an interrupt occurred dur- ing normal operation or during idle. for example, an instruction that activates idle can also set one or both flag bits. when idle is terminated by an interrupt, the interrupt ser- vice routine can examine the flag bits. the other way of terminating the idle mode is with a hardware reset. since the clock oscillator is still running, the hardware reset needs to be held active for only two machine cycles (24 oscillator periods) to complete the reset. power-down mode to save maximum power, a power-down mode can be invoked by software (refer to table 5, pcon register). in power-down mode, the oscillator is stopped and the instruction that invoked power- down mode is the last instruction executed. the internal ram and sfrs retain their value until the power-down mode is terminated. v cc can be lowered to save further power. either a hardware reset or an external interrupt can cause an exit from power- down. to properly terminate power-down, the reset or external interrupt should not be executed before v cc is restored to its normal operating level and must be held active long enough for the o scillator to restart and stabilize. only external interrupts int0 , int1 and keyboard interrupts are useful to exit from power-down. for that, interrupt must be enabled and configured as level or edge sensi- tive interrupt input. when keyboard interrupt occurs after a power down mode, 1024 clocks are necessary to exit to power down mode and enter in operating mode. holding the pin low restarts the oscillator but bringing the pin high completes the exit as detailed in figure 32. when both interrupts are enabled, the oscillator restarts as soon as one of the two inputs is held low and power down exit will be completed when the first input will be released. in this case the higher priority interrupt service routine is exe- cuted. once the interrupt is serviced, the next instruction to be executed after reti will be the one following the instruction that put t89c51rb2/rc2 into power-down mode.
77 t89c51rb2/rc2 4105d?8051?10/06 figure 32. power-down exit waveform exit from power-down by reset redefines all the sfrs, exit from power-down by external interrupt does no affect the sfrs. exit from power-down by either reset or external interrupt does not affect the internal ram content. note: if idle mode is activated with power-down mode (idl and pd bits set), the exit sequence is unchanged, when execution is vectored to interrupt, pd and idl bits are cleared and idle mode is not entered. table shows the state of ports during idle and power-down modes. * port 0 can force a 0 level. a "one" will leave port floating. int1 int0 xtala power-down phase oscillator restart phase active phase active phase or xtalb table 54. state of ports mode program memory ale psen port0 port1 port2 port3 idle internal 1 1 port data* port data port data port data idle external 1 1 floating port data address port data power down internal 0 0 port dat* port data port data port data power down external 0 0 floating port data port data port data
78 t89c51rb2/rc2 4105d?8051?10/06 power-off flag the power-off flag allows the user to distinguish between a ?cold start? reset and a ?warm start? reset. a cold start reset is the one induced by v cc switch-on. a warm start reset occurs while v cc is still applied to the device and could be generated for example by an exit from power-down. the power-off flag (pof) is located in pcon register (table 55). pof is set by hard- ware when v cc rises from 0 to its nominal voltage. the pof can be set or cleared by software allowing the user to determine the type of reset. table 55. pcon register pcon - power control register (87h) reset value = 00x1 0000b not bit addressable 76543210 smod1 smod0 - pof gf1 gf0 pd idl bit number bit mnemonic description 7smod1 serial port mode bit 1 set to select double baud rate in mode 1, 2 or 3. 6smod0 serial port mode bit 0 cleared to select sm0 bit in scon register. set to select fe bit in scon register. 5- reserved the value read from this bit is indeterminate. do not set this bit. 4pof power-off flag cleared to recognize next reset type. set by hardware when v cc rises from 0 to its nominal voltage. can also be set by software. 3gf1 general purpose flag cleared by user for general purpose usage. set by user for general purpose usage. 2gf0 general purpose flag cleared by user for general purpose usage. set by user for general purpose usage. 1pd power-down mode bit cleared by hardware when reset occurs. set to enter power-down mode. 0idl idle mode bit cleared by hardware when interrupt or reset occurs. set to enter idle mode.
79 t89c51rb2/rc2 4105d?8051?10/06 reduced emi mode the ale signal is used to demultiplex address and data buses on port 0 when used with external program or data memory. nevertheless, during internal code execution, ale signal is still generated. in order to reduce emi, ale signal can be disabled by setting ao bit. the ao bit is located in auxr register at bit location 0.as soon as ao is set, ale is no longer output but remains active during movx and movc instructions and external fetches. during ale disabling, ale pin is weakly pulled high. table 56. auxr register auxr - auxiliary register (8eh) 76543210 - - m0 - xrs1 xrs0 extram ao bit number bit mnemonic description 7- reserved the value read from this bit is indeterminate. do not set this bit 6- reserved the value read from this bit is indeterminate. do not set this bit 5m0 pulse length cleared to stretch movx control: the rd/ and the wr/ pulse length is 6 clock periods (default). set to stretch movx control: the rd/ and the wr/ pulse length is 30 clock periods. 4- reserved the value read from this bit is indeterminate. do not set this bit 3xrs1 xram size xrs1 xrs0 xram size 0 0 256 bytes (default) 0 1 512 bytes 1 0 768 bytes 1 1 1024 bytes 2xrs0 1 extram extram bit cleared to access internal xram using movx @ ri/ @ dptr. set to access external memory. programmed by hardware after power-up regarding hardware security byte (hsb), default setting, xram selected. 0ao ale output bit cleared, ale is emitted at a constant rate of 1/6 the oscillator frequency (or 1/3 if x2 mode is used). (default) set, ale is active only during a movx or movc instruction is used.
80 t89c51rb2/rc2 4105d?8051?10/06 electrical characteristics absolute maximum ratings (*) note: 1. this value is based on the maximum allowable die temperature and the thermal resistance of the package. operating temperature range ...... 0 c to 70 c (commercial) ................................................... -40 c to 85 c (industrial) note: *stresses at or above those listed under ?absolute max- imum ratings? may cause permanent damage to the device. this is a stress rating only and functional opera- tion of the device at these or any other conditions above those indicated in the operational sections of this specification is not implied. exposure to absolute maxi- mum rating conditions may affect device reliability. storage temperature ................................... -65 c to +150 c voltage on vcc to vss...................................-0.5v to + 6. 5v voltage on any pin to vss ...................... -0.5v to vcc + 0.5v power dissipation ........................................................... 1 w (1)
81 t89c51rb2/rc2 4105d?8051?10/06 dc parameters for standard voltage t a = 0 c to +70 c; v ss = 0v; v cc = 5v 10%; f = 0 to 40 mhz. t a = -40 c to +85 c; v ss = 0v; v cc = 5v 10%; f = 0 to 40 mhz. note: 3. power down i cc is measured with all output pins disconnected; ea = v ss , port 0 = v cc ; xtal2 nc. ; rst = v ss 4. capacitance loading on ports 0 and 2 may cause spurious noise pulses to be superimposed on the v ol s of ale and ports 1 and 3.the noise is due to external bus capacitance discharging into the port 0 and port 2 pins when these pins make 1 to 0 transitions during bus operation. in the worst cases (capacitive loading 100pf), the noise pulse on the ale line may exceed 0.45v with maxi v ol peak 0.6v. a schmitt trigger use is not necessary. 5. typicals are based on a limited number of samples and are not guaranteed. the values listed are at room temperature and 5v. 6. under steady state (non-transient) conditions, i ol must be externally limited as follows: maximum i ol per port pin: 10 ma maximum i ol per 8-bit port: port 0: 26 ma table 57. dc parameters in standard voltage symbol parameter min typ max unit test conditions v il input low voltage -0.5 0.2 v cc - 0.1 v v ih input high voltage except rst, xtal1, 0.2 v cc + 0.9 v cc + 0.5 v v ih1 input high voltage rst, xtal1 0.7 v cc v cc + 0.5 v v ol output low voltage, ports 1, 2, 3, 4 and 5 (6) 0.3 0.45 1.0 v v v i ol = 100 a (4) i ol = 1.6 ma (4) i ol = 3.5 ma (4) v ol1 output low voltage, port 0, ale, psen (6) 0.3 0.45 1.0 v v v i ol = 200 a (4) i ol = 3.2 ma (4) i ol = 7. 0 ma (4) v oh output high voltage, ports 1, 2, 3, 4 and 5 v cc - 0.3 v cc - 0.7 v cc - 1.5 v v v i oh = -10 a i oh = -30 a i oh = -60 a v cc = 5v 10% v oh1 output high voltage, port 0, ale, psen v cc - 0.3 v cc - 0.7 v cc - 1.5 v v v i oh = -200 a i oh = -3.2 ma i oh = -7. 0 ma v cc = 5v 10% r rst rst pulldown resistor 50 90 (5) 200 k i il logical 0 input current ports 1, 2, 3, 4 and 5 -50 a vin = 0.45 v i li input leakage current 10 a 0.45v < vin < v cc i tl logical 1 to 0 transition current, ports 1, 2, 3, 4 and 5 -650 avin = 2.0 v c io capacitance of i/o buffer 10 pf fc = 1 mhz t a = 25 c i pd power down current 100 150 a4. 5v < v cc < 5. 5 v (3) i ccidle power supply current on idle mode (7) tbd ma i cc power supply current on normal mode (7) 0.4 freq (mhz) + 3 ma ma i ccop1 power supply current flash programming (7) 0.4 freq (mhz) + 20 ma ma
82 t89c51rb2/rc2 4105d?8051?10/06 ports 1, 2 and 3: 15 ma maximum total i ol for all output pins: 71 ma if i ol exceeds the test condition, v ol may exceed the related specification. pins are not guaranteed to sink current greater than the listed test conditions. 7. for other values, please contact your sales office. dc parameters for low voltage t a = 0 c to +70 c; v ss = 0v; v cc = 2.7v to 3.3v; f = 0 to 20 mhz. t a = -40 c to +85 c; v ss = 0v; v cc = 2.7v to 3.3v; f = 0 to 20 mhz. note: 1. operating i cc is measured with all output pins disconnected; xtala1 driven with t clch , t chcl = 5 ns (see figure 36.), v il = v ss + 0.5 v, v ih = v cc - 0.5v; xtal2 n. c. ; ea = rst = port 0 = v cc . i cc would be slightly higher if a crystal oscillator used. 2. idle i cc is measured with all output pins disconnected; xtal1 driven with t clch , t chcl = 5 ns, v il = v ss + 0.5 v, v ih = v cc - 0.5v; xtal2 n. c; port 0 = v cc ; ea = rst = v ss (see figure 33). 3. power down i cc is measured with all output pins disconnected; ea = v ss , port 0 = v cc ; xtal2 nc. ; rst = v ss 4. capacitance loading on ports 0 and 2 may cause spurious noise pulses to be superimposed on the v ol s of ale and ports 1 and 3.the noise is due to external bus capacitance discharging into the port 0 and port 2 pins when these pins make 1 to 0 transitions during bus operation. in the worst cases (capacitive loading 100pf), the noise pulse on the ale line may exceed 0.45v with maxi v ol peak 0.6v. a schmitt trigger use is not necessary. 5. typicals are based on a limited number of samples and are not guaranteed. the values listed are at room temperature and 5v. 6. under steady state (non-transient) conditions, i ol must be externally limited as follows: maximum i ol per port pin: 10 ma maximum i ol per 8-bit port: port 0: 26 ma ports 1, 2 and 3: 15 ma maximum total i ol for all output pins: 71 ma if i ol exceeds the test condition, v ol may exceed the related specification. pins are not guaranteed to sink current greater than the listed test conditions. table 58. dc parameters for low voltage symbol parameter min typ max unit test conditions v il input low voltage -0.5 0.2 v cc - 0.1 v v ih input high voltage except rst, xtal1 0.2 v cc + 0.9 v cc + 0.5 v v ih1 input high voltage, rst, xtal1 0.7 v cc v cc + 0.5 v v ol output low voltage, ports 1, 2, 3, 4 and 5 (6) 0.45 v i ol = 0.8 ma (4) v ol1 output low voltage, port 0, ale, psen (6) 0.45 v i ol = 1.6 ma (4) v oh output high voltage, ports 1, 2, 3, 4 and 5 0.9 v cc vi oh = -10 a v oh1 output high voltage, port 0, ale, psen 0.9 v cc vi oh = -40 a i il logical 0 input current ports 1, 2, 3 -50 a vin = 0.45 v i li input leakage current 10 a 0.45v < vin < v cc i tl logical 1 to 0 transition current, ports 1, 2, 3, -650 a vin = 2.0 v r rst rst pulldown resistor 50 90 (5) 200 k cio capacitance of i/o buffer 10 pf fc = 1 mhz t a = 25 c i pd power down current 10 (5) 50 av cc = 2.5v to 3.5 v (3) i cc power supply current (7) tbd ma ma v cc = 3.3 v (1) v cc = 3.3 v (2)
83 t89c51rb2/rc2 4105d?8051?10/06 7. for other values, please contact your sales office. figure 33. i cc test condition, idle mode figure 34. i cc test condition, operating mode figure 35. i cc test condition, power-down mode ea v cc v cc i cc (nc) clock signal v cc all other pins are disconnected. rst xtal2 xtal1 v ss v cc p0 rst ea xtal2 xtal1 v ss v cc v cc i cc (nc) p0 v cc all other pins are disconnected. clock signal rst ea xtal2 xtal1 v ss v cc v cc i cc (nc) p0 v cc all other pins are disconnected.
84 t89c51rb2/rc2 4105d?8051?10/06 figure 36. clock signal waveform for i cc tests in active and idle modes ac parameters explanation of the ac symbols each timing symbol has 5 characters. the first character is always a ?t? (stands for time). the other characters, depending on their positions, stand for the name of a signal or the logical status of that signal. the following is a list of all the characters and what they stand for. example: t avll = time for address valid to ale low. t llpl = time for ale low to psen low. t a = 0 to +70 c; v ss = 0v; v cc = 5v 10%; m range. t a = -40 c to +85 c; v ss = 0v; v cc = 5v 10%; m range. t a = 0 to +70 c; v ss = 0v; 2.7v < v cc < 3.3v; l range. t a = -40 c to +85 c; v ss = 0v; 2.7v < v cc < 3.3v; l range. (load capacitance for port 0, ale and psen = 100 pf; l oad capacitance for all other outputs = 80 pf. ) table 59, table 62 and table 65 give the description of each ac symbols. table 68, table 65 and table 67 give for each range the ac parameter. table 68, table 67 and table 66 give the frequency derating formula of the ac parame- ter for each speed range description. to calculate each ac symbols. take the x value in the corresponding column (-m or -l) and use this value in the formula. example: t lliu for -m and 20 mhz, standard clock. x = 35 ns t 50 ns t cciv = 4t - x = 165 ns v cc -0.5v 0.45v 0.7v cc 0.2v cc -0.1 t clch t chcl t clch = t chcl = 5ns.
85 t89c51rb2/rc2 4105d?8051?10/06 external program memory characteristics table 59. symbol description table 60. ac parameters for a fix clock symbol parameter t oscillator clock period t lhll ale pulse width t avll address valid to ale t llax address hold after ale t lliv ale to valid instruction in t llpl ale to psen t plph psen pulse width t pliv psen to valid instruction in t pxix input instruction hold after psen t pxiz input instruction float after psen t aviv address to valid instruction in t plaz psen low to address float symbol -m -l units min max min max t25 25 ns t lhll 35 35 ns t av l l 55ns t llax 55ns t lliv 65 65 ns t llpl 55ns t plph 50 50 ns t pliv 30 30 ns t pxix 00ns t pxiz 10 10 ns t av iv 80 80 ns t plaz 10 10 ns
86 t89c51rb2/rc2 4105d?8051?10/06 table 61. ac parameters for a variable clock external program memory read cycle figure 37. external program memory read cycle symbol type standard clock x2 clock x parameter for -m range x parameter for -l range units t lhll min 2 t - x t - x 15 15 ns t avll min t - x 0.5 t - x 20 20 ns t llax min t - x 0.5 t - x 20 20 ns t lliv max 4 t - x 2 t - x 35 35 ns t llpl min t - x 0.5 t - x 15 15 ns t plph min 3 t - x 1.5 t - x 25 25 ns t pliv max 3 t - x 1.5 t - x 45 45 ns t pxix min x x 0 0 ns t pxiz max t - x 0.5 t - x 15 15 ns t aviv max 5 t - x 2.5 t - x 45 45 ns t plaz max x x 10 10 ns t pliv tplaz ale psen port 0 port 2 a0-a7 a0-a7 instr in instr in instr in address or sfr-p2 address a8-a15 address a8-a15 12 t clcl t aviv t lhll t avll t lliv t llpl t plph t pxav t pxix t pxiz t llax
87 t89c51rb2/rc2 4105d?8051?10/06 external data memory characteristics table 62. symbol description table 63. ac parameters for a fix clock symbol parameter t rlrh rd pulse width t wlwh wr pulse width t rldv rd to valid data in t rhdx data hold after rd t rhdz data float after rd t lldv ale to valid data in t avdv address to valid data in t llwl ale to wr or rd t av w l address to wr or rd t qvwx data valid to wr transition t qvwh data set-up to wr high t whqx data hold after wr t rlaz rd low to address float t whlh rd or wr high to ale high symbol -m -l units minmaxminmax t rlrh 125 125 ns t wlwh 125 125 ns t rldv 95 95 ns t rhdx 00ns t rhdz 25 25 ns t lldv 155 155 ns t avdv 160 160 ns t llwl 45 105 45 105 ns t av w l 70 70 ns t qvwx 55ns t qvwh 155 155 ns t whqx 10 10 ns t rlaz 00ns t whlh 545545ns
88 t89c51rb2/rc2 4105d?8051?10/06 external data memory write cycle figure 38. external data memory write cycle table 64. ac parameters for a variable clock symbol type standard clock x2 clock x parameter for - m range x parameter for - l range units t rlrh min 6 t - x 3 t - x 25 25 ns t wlwh min 6 t - x 3 t - x 25 25 ns t rldv max 5 t - x 2.5 t - x 30 30 ns t rhdx min x x 0 0 ns t rhdz max 2 t - x t - x 25 25 ns t lldv max 8 t - x 4t -x 45 45 ns t avdv max 9 t - x 4. 5 t - x 65 65 ns t llwl min 3 t - x 1.5 t - x 30 30 ns t llwl max 3 t + x 1.5 t + x 30 30 ns t avwl min 4 t - x 2 t - x 30 30 ns t qvwx min t - x 0.5 t - x 20 20 ns t qvwh min 7 t - x 3.5 t - x 20 20 ns t whqx min t - x 0.5 t - x 15 15 ns t rlaz max x x 0 0 ns t whlh min t - x 0.5 t - x 20 20 ns t whlh max t + x 0.5 t + x 20 20 ns t qvwh t llax ale psen wr port 0 port 2 a0-a7 data out address or sfr-p2 t av w l t llwl t qvwx address a8-a15 or sfr p2 t whqx t whlh t wlwh
89 t89c51rb2/rc2 4105d?8051?10/06 external data memory read cycle figure 39. external data memory read cycle serial port timing ? shift register mode table 65. symbol description table 66. ac parameters for a fix clock ale psen rd port 0 port 2 a0-a7 data in address or sfr-p2 t avwl t llwl t rlaz address a8-a15 or sfr p2 t rhdz t whlh t rlrh t lldv t rhdx t llax t avdv symbol parameter t xlxl serial port clock cycle time t qvhx output data set-up to clock rising edge t xhqx output data hold after clock rising edge t xhdx input data hold after clock rising edge t xhdv clock rising edge to input data valid symbol -m -l units min max min max t xlxl 300 300 ns t qvhx 200 200 ns t xhqx 30 30 ns t xhdx 00ns t xhdv 117 117 ns
90 t89c51rb2/rc2 4105d?8051?10/06 table 67. ac parameters for a variable clock shift register timing waveforms figure 40. shift register timing waveforms flash eeprom programming and verification characteristics table 68. flash programming parameters t a = 21 c to 27 c; v ss = 0v; v cc = 5v 10%. symbol type standard clock x2 clock x parameter for -m range x parameter for -l range units t xlxl min 12 t 6 t ns t qvhx min 10 t - x 5 t - x 50 50 ns t xhqx min 2 t - x t - x 20 20 ns t xhdx min x x 0 0 ns t xhdv max 10 t - x 5 t- x 133 133 ns valid input data va l i d valid 0123456 8 7 ale clock output data write to sbuf clear ri t xlxl t qvxh t xhqx t xhdv t xhdx set ti set ri instruction 01234567 valid valid valid valid valid symbol parameter min max units 1/t clcl oscillator frequency 4 6 mhz t ehaz control to address float 48 t clcl t avg l address setup to prog low 48 t clcl t ghax address hold after prog 48 t clcl t dvgl data setup to prog low 48 t clcl t ghdx data hold after prog 48 t clcl t glgh prog width for pgmc and pgxc* 10 20 ms t glgh prog width for pgml 48 t clcl t avqv address to valid data 48 t clcl t elqv enable low to data valid 48 t clcl t ehqz data float after enable 0 48 t clcl
91 t89c51rb2/rc2 4105d?8051?10/06 flash eeprom programming and verification waveforms figure 41. flash eeprom programming and verification waveforms external clock drive characteristics (xtal1) table 69. external clock drive characteristics (xtal1) external clock drive waveforms figure 42. external clock drive waveforms ac testing input/output waveforms figure 43. ac testing input/output waveforms ac inputs during testing are driven at v cc - 0.5 for a logic ?1? and 0.45v for a logic ?0?. timing measurement are made at v ih min. for a logic ?1? and v il max for a logic ?0?. t ehaz ale/prog t avgl t dvgl p0 p1.0-p1.7 p2.0-p2.4 p3.4-p3.5 control signals (enable) address data in t ghax t ghdx t glgh address data out t avq v t elqv t ehqz programming verification symbol parameter min max units t clcl oscillator period 25 ns t chcx high time 3 ns t clcx low time 3 ns t clch rise time 3 ns t chcl fall time 3 ns t chcx /t clcx cyclic ratio in x2 mode 40 60 % v cc -0.5v 0.45v 0.7v cc 0.2v cc -0.1 t chcl t clcx t clcl t clch t chcx input/output 0.2 v cc + 0.9 0.2 v cc - 0.1 v cc -0.5v 0.45v
92 t89c51rb2/rc2 4105d?8051?10/06 float waveforms figure 44. float waveforms for timing purposes as port pin is no longer floating when a 100 mv change from load voltage occurs and begins to float when a 100 mv change from the loaded v oh /v ol level occurs. i ol /i oh 20 ma. clock waveforms valid in normal clock mode. in x2 mode xtal2 must be changed to xtal2/2. float v oh - 0.1 v v ol + 0.1 v v load v load + 0.1 v v load - 0.1 v
93 t89c51rb2/rc2 4105d?8051?10/06 figure 45. clock waveforms this diagram indicates when signals are clocked internally. the time it takes the signals to propagate to the pins, however, ranges from 25 to 125 ns. this propagation delay is dependent on variables such as temperature and pin loading. propaga- tion also varies from output to output and component. typically though (t a =25 c fully loaded) rd and wr propagation delays are approximately 50ns. the other signals are typically 85 ns. propagation delays are incorporated in the ac specifications. p2 (ext) data pcl out data pcl out data pcl out sampled sampled sampled state4 state5 state6 state1 state2 state3 state4 state5 p1 p2 p1 p2 p1 p2 p1 p2 p1 p2 p1 p2 p1 p2 p1 p2 float float float these signals are not activated during the execution of a movx instruction indicates address transitions external program memory fetch float data sampled dpl or rt out indicates dph or p2 sfr to pch transition pcl out (if program memory is external) pcl out (even if program memory is internal) pcl out (if program memory is external) old data new data p0 pins sampled p1, p2, p3 pins sampled p1, p2, p3 pins sampled p0 pins sampled rxd sampled internal clock xtal2 ale psen p0 read cycle write cycle rd p0 p2 wr port operation mov port src mov dest p0 mov dest port (p1.p2.p3) (includes into. int1.to t1) serial port shift clock txd (mode 0) data out dpl or rt out indicates dph or p2 sfr to pch transition p0 p2 rxd sampled
94 t89c51rb2/rc2 4105d?8051?10/06 flash eeprom memory the flash memory increases eprom and rom functionality with in-circuit electrical erasure and programming. it contains 16k or 32k bytes of program memory organized respectively in 128 or 256 pages of 128 bytes. this memory is both parallel and serial in-system programmable (isp). isp allows devices to alter their own program memory in the actual end product under software control. a default serial loader (bootloader) pro- gram allows isp of the flash. the programming does not require 12v external programming voltage. the necessary high programming voltage is generated on-chip using the standard v cc pins of the microcontroller. features ?flash e 2 prom internal program memory. ? boot vector allows user provided flash loader code to reside anywhere in the flash memory space. this configuration provides flexibility to the user. ? default loader in boot rom allows programming via the serial port without the need of a user provided loader. ? up to 64k byte external program memory if the internal program memory is disabled (ea = 0). ? programming and erase voltage with standard 5v or 3v v cc supply. ? read/programming/erase: ? byte-wise read without wait state ? byte or page erase and programming (10 ms) ? typical programming time (32k bytes) in 10s ? parallel programming with 87c51 compatible hardware interface to programmer ? programmable security for the code in the flash ? 10k write cycles ? 10 years data retention flash programming and erasure the 16k or 32k bytes flash is programmed by bytes or by pages of 128 bytes. it is not necessary to erase a byte or a page before programming. the programming of a byte or a page includes a self erase before programming. there are three methods of programming the flash memory: ? first, the on-chip isp bootloader may be invoked which will use low level routines to program the pages. the interface used for serial downloading of flash is the uart. ? second, the flash may be programmed or erased in the end-user application by calling low-level routines through a common entry point in the boot rom. ? third, the flash may be programmed using the parallel method by using a conventional eprom programmer. the parallel programming method used by these devices is similar to that used by eprom 87c51 but it is not identical and the commercially available programmers need to have support for the t89c51rb2/rc2. the bootloader and the application programming interface (api) routines are located in the boot rom.
95 t89c51rb2/rc2 4105d?8051?10/06 flash registers and memory map the t89c51rb2/rc2 flash memory uses several registers for his management: ? hardware registers can only be accessed through the parallel programming modes which are handled by the parallel programmer. ? software registers are in a special page of the flash memory which can be accessed through the api or with the parallel programming modes. this page, called "extra flash memory", is not in the internal flash program memory addressing space. hardware register the only hardware register of the t89c51rb2/rc2 is called hardware security byte (hsb). table 70. hardware security byte (hsb) boot loader jump bit (bljb) one bit of the hsb, the bljb bit, is used to force the boot address: ? when this bit is set the boot address is 0000h. ? when this bit is reset the boot address is f800h. by default, this bit is cleared and the isp is enabled. flash memory lock bits the three lock bits provide different levels of protection for the on-chip code and data, when programmed as shown in table 71. 76543210 x2 bljb - - xram lb2 lb1 lb0 bit number bit mnemonic description 7x2 x2 mode programmed to force x2 mode (6 clocks per instruction) unprogrammed to force x1 mode, standard mode. (default) 6bljb boot loader jump bit unprogrammed this bit to start the user?s application on next reset at address 0000h. programmed this bit to start the boot loader at address f800h (default). 5- reserved 4- reserved 3xram xram config bit (only programmable by programmer tools) programmed to inhibit xram unprogrammed, this bit to valid xram (default) 2-0 lb2-0 user memory lock bits (only programmable by programmer tools) see table 71
96 t89c51rb2/rc2 4105d?8051?10/06 table 71. program lock bits note: u: unprogrammed or "one" level. p: programmed or "zero" level. x: do not care warning: security level 2 and 3 should only be programmed after flash and code verification. these security bits protect the code access through the parallel programming interface. they are set by default to level 4. the code access through the isp is still possible and is controlled by the "software security bits" which are stored in the extra flash memory accessed by the isp firmware. to load a new application with the parallel programmer, a chip erase must first be done. this will set the hsb in its inactive state and will erase the flash memory. the part ref- erence can always be read using flash parallel programming modes. default values the default value of the hsb provides parts ready to be programmed with isp: ? bljb: programmed force isp operation. ? x2: unprogrammed to force x1 mode (standard mode). ? xram: unprogrammed to valid xram ? lb2-0: security level four to protect the code from a parallel access with maximum security. software registers several registers are used, in factory and by parallel programmers, to make copies of hardware registers contents. these values are used by atmel isp (see section "in-sys- tem programming (isp)", page 101). these registers are in the "extra flash memory" part of the flash memory. this block is also called "xaf" or extra array flash. they are accessed in the following ways: ? commands issued by the parallel memory programmer. ? commands issued by the isp software. ? calls of api issued by the application software. several software registers described in table 72. program lock bits protection description security level lb0 lb1 lb2 1 u u u no program lock features enabled. 2puu movc instruction executed from external program memory is disabled from fetching code bytes from internal memory, ea is sampled and latched on reset, and further parallel programming of the flash is disabled. isp and software programming with api are still allowed. 3xpu same as 2, also verify through parallel programming interface is disabled. 4 x x p same as 3, also external execution is disabled. (default)
97 t89c51rb2/rc2 4105d?8051?10/06 table 72. default values after programming the part by isp, the bsb must be cleared (00h) in order to allow the application to boot at 0000h. the content of the software security byte (ssb) is descri bed in table 72 and table 74. to assure code protection from a parallel access, the hsb must also be at the required level. table 73. software security byte the two lock bits provide different levels of protection for the on-chip code and data, when programmed as shown to table 74. mnemonic definition default value description sbv software boot vector fch hsb copy of the hardware security byte 101x 1011b bsb boot status byte 0ffh ssb software security byte ffh copy of the manufacturer code 58h atmel copy of the device id #1: family code d7h c51 x2, electrically erasable copy of the device id #2: memories f7h t89c51rb2/rc2 32kb size and type fbh t89c51rb2/rc2 16 kb copy of the device id #3: name and revision efh t89c51rb2/rc2 32kb, revision 0 ffh t89c51rb2/rc2 16 kb, revision 0 76543210 ------lb1lb0 bit number bit mnemonic description 7- reserved do not clear this bit. 6- reserved do not clear this bit. 5- reserved do not clear this bit. 4- reserved do not clear this bit. 3- reserved do not clear this bit. 2- reserved do not clear this bit. 1-0 lb1-0 user memory lock bits see table 74
98 t89c51rb2/rc2 4105d?8051?10/06 table 74. program lock bits of the ssb note: u: unprogrammed or "one" level. p: programmed or "zero" level. x: do not care warning: security level 2 and 3 should only be programmed after flash and code verification. flash memory status t89c51rb2/rc2 parts are delivered in standard with the isp boot in the flash memory. after isp or parallel programming, the possible contents of the flash memory are sum- marized on the figure below: figure 46. flash memory possible contents memory organization in the t89c51rb2/rc2, the lowest 16k or 32k of the 64kb program memory address space is filled by internal flash. when the ea pin high, the processor fetches instructions from internal program flash. bus expansion for accessing program memory from 16k or 32k upward automatic since external instruction fetches occur automatically when the program counter exceeds 3fffh (16k) or 7fffh (32k). if the ea pin is tied low, all program memory fetches are from external memory. program lock bits protection description security level lb0 lb1 1 u u no program lock features enabled. 2 p u isp programming of the flash is disabled. 3 x p same as 2, also verify through isp programming interface is disabled. 0000h virgin 3fffh default after isp after parallel programming after parallel programming after parallel programming application application virgin after isp or dedicated isp dedicated isp 7fffh t89c51rc2 32kb t89c51rb2 16kb application virgin or application virgin or application
99 t89c51rb2/rc2 4105d?8051?10/06 boot process boot flash when the user application programs its own flash memory, all of the low level details are handled by a code that is permanently contained in a 2k byte ?boot rom?. a user program simply calls the common entry point in the boot rom with appropriate parame- ters to accomplish the desired operation. boot rom operations include: erase block, program byte or page, verify byte or page, program security lock bit, etc. the boot rom is placed in the program memory space at the top of the address space from f800h to ffffh. figure 47. boot rom loader memory map reset code execution at the falling edge of reset (unless the hardware conditions on psen , ea and ale are set as described below), the t89c51rb2/rc2 reads the bljb bit in the hsb byte. if this bit is set, it jumps to 0000h and if not, it jumps to f800h. at this address, the boot soft- ware reads a special flash register: the software boot vector (sbv). if the bsb is set to zero, power-up execution starts at location 0000h, which is the normal start address of the user?s application code. when the boot status bit is set, the contents of the boot vector is used as the high byte of the execution address and the low byte is set to 00h. the factory default setting is fch, corresponding to default rom isp boot loader. a custom boot loader can be written with the boot vector set to the custom boot loader address. hardware activation of the boot loader the default boot loader can also be executed by holding psen low, ea high, and ale high (or not connected) at the fallin g edge of r eset. this allows an application to be built that will normally execute the end user?s code but can be manually forced into default isp operation. as psen has the same structure as p1-p3, the current to force psen to 0 as i tl is defined in the dc parameters. user application should take care to release hardware conditions ( psen low, ea high) 24 clock cycles after falling edge of reset signal. if the factory default setting for the boot vector (fch) is changed, it will no longer point to the isp default flash boot loader code. it can be restored: ? with the default isp activated with hardware conditions on psen , ea and ale. ? with a customized loader (in the end user application) that provides features for erasing and reprogramming of the software boot vector and bsb. ? through the parallel programming method. fff0 entry point for api isp start f800
100 t89c51rb2/rc2 4105d?8051?10/06 after programming the flash, the status byte should be programmed to zero in order to allow execution of the user?s application code beginning at address 0000h. boot process summary the boot process is summarized on the following flowchart: figure 48. boot process flowchart reset hardware condition? bljb!= 0 ? user application hardware software fcon = 00h fcon = f0h fcon = 00h ? atmel boot loader user boot loader yes = hardware boot f800h bljb=1 bsb = 00h ? sbv = fch ? pc=0000h pc= [sbv]00h conditions bljb=0 if bljb=0 then enboot bit (auxr1) is set else enboot bit (auxr1) is cleared enboot=1 enboot=0 yes (psen = 0, ea = 1, and ale =1 or not connected)
101 t89c51rb2/rc2 4105d?8051?10/06 in-system programming (isp) the in-system programming (isp) is performed without removing the microcontroller from the system. the isp facility consists of a series of internal hardware resources coupled with internal firmware to facilitate remote programming of thet89c51rb2/rc2 through the serial port. the atmel isp facility has made in-circuit programming in an embedded application possible with a minimum of additional expense in components and circuit board area. the isp function through uart uses four pins: txd, rxd, v ss , v cc . only a small con- nector needs to be available to interface the application to an external circuit in order to use this feature. using in-system programming (isp) the isp feature allows a wide range of baud rates in the user application. it is also adaptable to a wide range of oscillator frequencies. this is accomplished by measuring the bit-time of a single bit in a received character. this information is then used to pro- gram the baud rate in terms of timer counts based on the oscillator frequency. the isp feature requires that an initial character (an uppercase u) be sent to the t89c51rb2/rc2 to establish the baud rate. the isp firmware provides auto-echo of received characters. once baud rate initialization has been performed, the isp firmware will only accept intel hex-type records. intel hex records consist of ascii characters used to represent hexa- decimal values and are summarized below: :nnaaaarrdd. ddcc t89c51rb2/rc2 will accept up to 16 (10h) data bytes. the ?aaaa? string represents the address of the first byte in the record. if there are zero bytes in the record, this field is often set to ??0000??. the ?rr? string indicates the record type. a record type of ?00? is a data record. a record type of ?01? indicates the end-of-file mark. in this application, additional record types will be added to indicate either commands or data for the isp facility. the ?dd? string represents the data bytes. the maximum number of data bytes in a record is limited to 16 (decimal). the ?cc? string represents the checksum byte. isp commands are summarized in table 75. as a record is received by the t89c51rb2/rc2, the information in the record is stored internally and a checksum calculation is performed and compared to ??cc??. the operation indicated by the record type is not performed until the entire record has been received. should an error occur in the checksum, the t89c51rb2/rc2 will send an ?x? out the serial port indicating a checksum error. if the checksum calculation is found to match the c hecksum in the record , then the command w ill be executed. in most cases, successful reception of the record will be indicated by transmitting a ?. ? character out the serial port (displaying the contents of the internal program memory is an excep- tion). in the case of a data record (record type ??00??), an additional check is made. a ?. ? character will not be sent unless the record checksum matched the calculated check- sum and all of the bytes in the record were successfully programmed. for a data record, an ?x? indicates that the checksum failed to match, and an ?r? character indicates that one of the bytes did not properly program. flip, a software utility to implement isp programming with a pc, is available from the atmel the web site.
102 t89c51rb2/rc2 4105d?8051?10/06 table 75. intel-hex records used by in-system programming record type command/data function 00 data record :nnaaaa00dd. . . . ddcc where: nn = number of bytes (hex) in record aaaa = memory address of first byte in record dd. . . . dd = data bytes cc = checksum example: :05008000af5f67f060b6 01 end of file (eof), no operation :xxxxxx01cc where: xxxxxx = required field, but value is a ?don?t care? cc = checksum example: :00000001ff 02 specify oscillator frequency (not required, left for philips compatibility) :01xxxx02ddcc where: xxxx = required field, but value is a ?don?t care? dd = required field, but value is a ?don?t care? cc = checksum example: :0100000210ed
103 t89c51rb2/rc2 4105d?8051?10/06 03 miscellaneous write functions :nnxxxx03ffssddcc where: nn = number of bytes (hex) in record xxxx = required field, but value is a ?don?t care? 03 = write function ff = subfunction code ss = selection code dd = data input (as needed) cc = checksum subfunction code = 01 (erase block) ff = 01 ss = block number in bits 7:5, bits 4:0 = zeros example: :0200000301a05a erase block 5 subfunction code = 04 (reset boot vector and status byte) ff = 04 ss = don?t care dd = don?t care example: :020000034500f8 reset boot vector (fch) and status byte (ffh) subfunction code = 05 (program software security bits) ff = 05 ss = 00 program software security bit 1 (level 2 inhibit writing to flash) ss = 01 program software security bit 2 (level 3 inhibit flash verify) ss = 02 program security bit 3 (no effect, left for philips compatibility; disable external memory is already set in the default hardware security byte) example: :020000030501f6 program security bit 2 subfunction code = 06 (program boot status byte, boot vector,x2 bit,osc bit or bljb fuse bit) ff = 06 ss = 00 program boot status byte ss = 01 program software boot vector ss = 02 program x2 bit ss = 04 program bljb example: :03000003060100f5 program boot vector with 00 subfunction code = 07 (full chip erase) ff = 07 ss = don?t care dd = don?t care example: :03000007f5 program boot vector with 00 record type command/data function
104 t89c51rb2/rc2 4105d?8051?10/06 in-application programming method several application program interface (api) calls are available for use by an application program to permit selective erasing and programming of flash pages. all calls are made through a common interface, pgm_mtp. the programming functions are selected by setting up the microcontroller?s registers before making a call to pgm_mtp at fff0h. results are returned in the registers. the api calls are shown in table . a set of philips ? compatible api calls is provided. when several bytes have to be programmed, it is highly recommended to use the atmel api ?program data page? call. indeed, this api call writes up to 128 bytes in a sin- gle command. 04 display device data or blank check record type 04 causes the contents of the entire flash array to be sent out the serial port in a formatted display. this display consists of an address and the contents of 16 bytes starting with that address. no display of the device contents will occur if security bit 2 has been programmed. the dumping of the device data to the serial port is terminated by the reception of any character. general format of function 04 :05xxxx04sssseeeeffcc where: 05 = number of bytes (hex) in record xxxx = required field, but value is a ?don?t care? 04 = ?display device data or blank check? function code ssss = starting address eeee = ending address ff = subfunction 00 = display data 01 = blank check cc = checksum example: :0500000440004fff0069 (display 4000?4fff) 05 miscellaneous read functions general format of function 05 :02xxxx05ffsscc where: 02 = number of bytes (hex) in record xxxx = required field, but value is a ?don?t care? 05= ?miscellaneous read? function code ffss = subfunction and selection code 0000 = read copy of the signature byte ? manufacturer id (58h) 0001 = read copy of the signature byte ? device id# 1 (family code) 0002 = read copy of the signature byte ? device id # 2 (memories size and type) 0003 = read copy of the signature byte ? device id # 3 (product name and revision) 0700 = read the software security bits 0701 = read bsb 0702 = read sbv 0704 = read hsb cc = checksum example: :020000050001f0 read copy of the signature byte ? device id # 1 record type command/data function
105 t89c51rb2/rc2 4105d?8051?10/06 table 76. api calls api call parameter program data byte input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 02h dptr = address of byte to program acc = byte to program return parameter acc = 00 if pass,!00 if fail program data pa g e input parameters: r0 = osc freq (integer not required) r1 = 09h dptr0 = address of the first byte to program in the flash memory dptr1 = address in xram of the first data to program (second data pointer) acc = number of bytes to program return parameter acc = 00 if pass,!00 if fail remark: number of bytes to program is limited such as the flash write remains in a single 128bytes page. hence, when acc is 128, valid values of dpl are 00h, or, 80h. erase block input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 01h dph = block number number dptr block 0 0 00h256 bytes (default) 1 20h 512 bytes 2 40h 768 bytes dpl = 00h return parameter none remark: command for philips compatibility, as no erase is needed; the isp firmware write ffh in the corresponding block. erase boot vector input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 04h dph = 00h dpl = don?t care return parameter none
106 t89c51rb2/rc2 4105d?8051?10/06 program software security bit input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 05h dph = 00h dpl = 00h ? security bit # 1 (inhibit writing to flash) 01h ? security bit # 2 (inhibit flash verify) 10h - allows isp writing to flash (see note 1) 11h - allows isp flash verify (see note 1) return parameter none program boot status byte input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 06h dph = 00h dpl = 00h acc = status byte return parameter acc = status byte program boot vector input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 06h dph = 00h dpl = 01h acc = boot vector return parameter acc = boot vector program x2 mode input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 0ah dph = 00h dpl = 08h acc = value (00 or 01h) return parameter acc = boot vector program bljb input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 0ah dph = 00h dpl = 04h acc = value (00 or 01h) return parameter acc = boot vector read device data input parameters: r1 = 03h dptr = address of byte to read return parameter acc = value of byte read table 76. api calls (continued) api call parameter
107 t89c51rb2/rc2 4105d?8051?10/06 read copy of the manufacturer id input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 00h dph = 00h dpl = 00h (manufacturer id) return parameter acc = value of byte read read copy of the device id # 1 input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 00h dph = 00h dpl = 01h (device id # 1) return parameter acc = value of byte read read copy of the device id # 2 input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 00h dph = 00h dpl = 02h (device id # 2) return parameter acc = value of byte read read copy of the device id # 3 input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 00h dph = 00h dpl = 03h (device id # 2) return parameter acc = value of byte read read software security bits input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 07h dph = 00h dpl = 00h (software security bits) return parameter acc = value of byte read read hardware security bits input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 07h -> obh dph = 00h dpl = 04h (hardware security bits) return parameter acc = value of byte read table 76. api calls (continued) api call parameter
108 t89c51rb2/rc2 4105d?8051?10/06 note: these functions can only be called by user?s code. the standard boot loader cannot decrease the security level. read boot status byte input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 07h dph = 00h dpl = 01h (status byte) return parameter acc = value of byte read read boot vector input parameters: r0 = osc freq (integer not required, left for philips compatibility) r1 = 07h dph = 00h dpl = 02h (boot vector) return parameter acc = value of byte read number dptr block 0 00h 0 - 8 kb 1 20h 8 - 16 kb 2 40h 16 - 32 kb (only on t89c51rc2) table 76. api calls (continued) api call parameter
109 t89c51rb2/rc2 4105d?8051?10/06 ordering information table 77. possible order entries part-number memory size supply voltage temperature range package packing T89C51RB2-3CSCM 16 k bytes 5v commercial pdil40 stick t89c51rb2-3csim 16 k bytes 5v industrial pdil40 stick t89c51rb2-slscm 16 k bytes 5v commercial plcc44 stick t89c51rb2-slsim 16 k bytes 5v industrial plcc44 stick t89c51rb2-slsil 16 k bytes 3v industrial plcc44 stick t89c51rb2-rltim 16 k bytes 5v industrial vqfp44 tray t89c51rb2-rltil 16 k bytes 3v commercial vqfp44 tray t89c51rc2-3cscm 32 k bytes 5v commercial pdil40 stick t89c51rc2-3csim 32 k bytes 5v industrial pdil40 stick t89c51rc2-slscm 32 k bytes 5v commercial plcc44 stick t89c51rc2-slsim 32 k bytes 5v industrial plcc44 stick t89c51rc2-slsil 32 k bytes 3v industrial plcc44 stick t89c51rc2-rltim 32 k bytes 5v industrial vqfp44 tray t89c51rc2-rltil 32 k bytes 3v commercial vqfp44 tray
110 t89c51rb2/rc2 4105d?8051?10/06 package information pdil40
111 t89c51rb2/rc2 4105d?8051?10/06 package information vqfp44 package information plc44
112 t89c51rb2/rc2 4105d?8051?10/06
113 t89c51rb2/rc2 4105d?8051?10/06 document revision history changes from 4105c - 02/02 to 4105d - 10-06 1. correction to pdil40 figure on page 5.
i 4105d?8051?10/06 table of contents features ................................................................................................. 1 description ............................................................................................ 1 block diagram ....................................................................................... 2 sfr mapping ......................................................................................... 3 pin configurations ................................................................................ 5 oscillator ............................................................................................... 9 registers............................................................................................................... 9 functional block diagram................................................................................... 10 enhanced features ............................................................................. 11 x2 feature .......................................................................................................... 11 dual data pointer register dptr ...................................................... 15 expanded ram (xram) ..................................................................... 18 registers............................................................................................................. 20 timer 2 ................................................................................................. 21 auto-reload mode.............................................................................................. 21 programmable clock-output .............................................................................. 22 registers............................................................................................................. 24 programmable counter array pca ................................................... 26 registers............................................................................................................. 28 pca capture mode............................................................................................. 34 16-bit software timer/ compare mode............................................................... 35 high speed output mode ................................................................................... 36 pulse width modulator mode.............................................................................. 37 pca watchdog timer ......................................................................................... 37 serial i/o port ...................................................................................... 39 framing error detection ..................................................................................... 39 automatic address recognition.......................................................................... 40 registers............................................................................................................. 42 baud rate selection for uart for mode 1 and 3............................................... 42 uart registers.................................................................................................. 45 interrupt system ................................................................................. 50 registers............................................................................................................. 51
ii 4105d?8051?10/06 interrupt sources and vector addresses............................................................ 58 keyboard interface ............................................................................. 59 registers............................................................................................................. 60 serial port interface (spi) ................................................................... 63 features.............................................................................................................. 63 signal description............................................................................................... 63 functional description ........................................................................................ 65 hardware watchdog timer ................................................................ 72 using the wdt ................................................................................................... 72 wdt during power down and idle..................................................................... 73 once ? mode (on chip emulation) .................................................. 74 power management ............................................................................ 75 reset .................................................................................................................. 75 reset recommendation to prevent flash corruption ........................................ 75 idle mode ............................................................................................................ 76 power-down mode.............................................................................................. 76 power-off flag ..................................................................................... 78 reduced emi mode ............................................................................. 79 electrical characteristics ................................................................... 80 absolute maximum ratings (*) ................................................................................................................. 80 dc parameters for standard voltage ................................................................. 81 dc parameters for low voltage .........................................................................82 ac parameters ................................................................................................... 84 flash eeprom memory ..................................................................... 94 features.............................................................................................................. 94 flash programming and erasure........................................................................ 94 flash registers and memory map...................................................................... 95 flash memory status.......................................................................................... 98 memory organization ......................................................................................... 98 boot process....................................................................................................... 99 in-system programming (isp).......................................................................... 101 in-application programming method................................................................. 104 ordering information ........................................................................ 109 package information ........................................................................ 110 pdil40.............................................................................................................. 110
iii 4105d?8051?10/06 package information ........................................................................ 111 vqfp44 ............................................................................................................ 111 package information ........................................................................ 111 plc44............................................................................................................... 111 document revision history ............................................................. 113 changes from 4105c - 02/02 to 4105d - 10-06 ............................................... 113 table of contents .................................................................................. i
printed on recycled paper. disclaimer: the information in this document is provided in connection with atmel products. no license, express or implied, by estoppel or otherwise, to any intellectual property right is granted by this document or in connection with the sale of atmel products. except as set forth in atmel?s terms and condi- tions of sale located on atmel?s web site, atmel assumes no liability whatsoever and disclaims any express, implied or statutor y warranty relating to its products including, but not limited to, the implied warranty of merchantability, fitness for a particu lar purpose, or non-infringement. in no event shall atmel be liable for any direct, indirect, consequential, punitive, special or i nciden- tal damages (including, without limitation, damages for loss of profits, business interruption, or loss of information) arising out of the use or inability to use this document, even if atmel has been advised of the possibility of such damages. atmel makes no representations or warranties with respect to the accuracy or completeness of the contents of this document and reserves the rig ht to make changes to specifications and product descriptions at any time without notice. atmel does not make any commitment to update the information contained her ein. atmel?s products are not intended, authorized, or warranted for use as components in applications intended to support or sustain life. atmel corporation atmel operations 2325 orchard parkway san jose, ca 95131, usa tel: 1(408) 441-0311 fax: 1(408) 487-2600 regional headquarters europe atmel sarl route des arsenaux 41 case postale 80 ch-1705 fribourg switzerland tel: (41) 26-426-5555 fax: (41) 26-426-5500 asia room 1219 chinachem golden plaza 77 mody road tsimshatsui east kowloon hong kong tel: (852) 2721-9778 fax: (852) 2722-1369 japan 9f, tonetsu shinkawa bldg. 1-24-8 shinkawa chuo-ku, tokyo 104-0033 japan tel: (81) 3-3523-3551 fax: (81) 3-3523-7581 memory 2325 orchard parkway san jose, ca 95131, usa tel: 1(408) 441-0311 fax: 1(408) 436-4314 microcontrollers 2325 orchard parkway san jose, ca 95131, usa tel: 1(408) 441-0311 fax: 1(408) 436-4314 la chantrerie bp 70602 44306 nantes cedex 3, france tel: (33) 2-40-18-18-18 fax: (33) 2-40-18-19-60 asic/assp/smart cards zone industrielle 13106 rousset cedex, france tel: (33) 4-42-53-60-00 fax: (33) 4-42-53-60-01 1150 east cheyenne mtn. blvd. colorado springs, co 80906, usa tel: 1(719) 576-3300 fax: 1(719) 540-1759 scottish enterprise technology park maxwell building east kilbride g75 0qr, scotland tel: (44) 1355-803-000 fax: (44) 1355-242-743 rf/automotive theresienstrasse 2 postfach 3535 74025 heilbronn, germany tel: (49) 71-31-67-0 fax: (49) 71-31-67-2340 1150 east cheyenne mtn. blvd. colorado springs, co 80906, usa tel: 1(719) 576-3300 fax: 1(719) 540-1759 biometrics/imaging/hi-rel mpu/ high speed converters/rf datacom avenue de rochepleine bp 123 38521 saint-egreve cedex, france tel: (33) 4-76-58-30-00 fax: (33) 4-76-58-34-80 literature requests www.atmel.com/literature 4105d?8051?10/06 ? atmel corporation 2006 . all rights reserved. atmel ? , logo and combinations thereof, are registered trademarks, and everywhere you are sm are the trademarks of atmel corporation or its subsidiaries. other terms and product names may be trademarks of others.


▲Up To Search▲   

 
Price & Availability of T89C51RB2-3CSCM

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X